毛片在线视频观看,一级日韩免费大片,在线网站黄色,澳门在线高清一级毛片

薈聚奇文、博采眾長(zhǎng)、見(jiàn)賢思齊
當(dāng)前位置:公文素材庫(kù) > 報(bào)告體會(huì) > 工作報(bào)告 > 電子線路CAD實(shí)習(xí)報(bào)告書(總結(jié))(學(xué)生用表)

電子線路CAD實(shí)習(xí)報(bào)告書(總結(jié))(學(xué)生用表)

網(wǎng)站:公文素材庫(kù) | 時(shí)間:2019-05-28 03:18:21 | 移動(dòng)端:電子線路CAD實(shí)習(xí)報(bào)告書(總結(jié))(學(xué)生用表)

電子線路CAD實(shí)習(xí)報(bào)告書(總結(jié))(學(xué)生用表)

廣東海洋大學(xué)

電子線路CAD課程

學(xué)姓班

指導(dǎo)實(shí)習(xí)報(bào)告

號(hào)201*36616119名李家興級(jí)

應(yīng)電091老師

王峰

廣東海洋大學(xué)

廣東海洋大學(xué)學(xué)生課程實(shí)習(xí)報(bào)告

(學(xué)生用表)

成績(jī)

評(píng)語(yǔ):

指導(dǎo)教師日期

參考:

一、實(shí)習(xí)報(bào)告(總結(jié))的內(nèi)容:1、時(shí)間、地點(diǎn)、描述2、實(shí)習(xí)內(nèi)容及實(shí)習(xí)過(guò)程的敘述3、收獲、體會(huì)4、不足、建議、教訓(xùn)

二、總結(jié)報(bào)告字?jǐn)?shù)要求1500字左右

擴(kuò)展閱讀:長(zhǎng)理電子線路CAD實(shí)習(xí)報(bào)告

電子線路CAD實(shí)習(xí)

電子線路CAD實(shí)習(xí)報(bào)告

學(xué)院:電氣與信息工程學(xué)院專業(yè):電子信息工程班級(jí):***學(xué)號(hào):***姓名:***

時(shí)間:201*年2月25日-201*年3月1日地點(diǎn):工一B306教室指導(dǎo)老師:賀科學(xué)

第1頁(yè)共12頁(yè)電子線路CAD實(shí)習(xí)

目錄

1前言11.1實(shí)習(xí)目的1

1.2實(shí)習(xí)任務(wù)及要求1

1.3實(shí)習(xí)安排22實(shí)習(xí)內(nèi)容42.1電子線路CAD實(shí)習(xí)電路板分析52.2實(shí)習(xí)電路板設(shè)計(jì)52.2.1.繪制電路原理圖52.2.2設(shè)計(jì)PCB版圖83實(shí)習(xí)總結(jié)9參考文獻(xiàn)附錄11附錄1電路原理圖11附錄2元件清單12附錄3PCB版圖13

第2頁(yè)共12頁(yè)

電子線路CAD實(shí)習(xí)

前言

實(shí)習(xí)是教學(xué)計(jì)劃的重要環(huán)節(jié),是培養(yǎng)學(xué)生實(shí)踐能力、提高學(xué)生綜合素質(zhì)的重要手段,更是學(xué)生接觸實(shí)際、了解社會(huì)的重要途徑。其中,專業(yè)課程實(shí)習(xí)則是大學(xué)課程教育一個(gè)必不可少的一環(huán),它培養(yǎng)并提高了相關(guān)電子工程設(shè)計(jì)專業(yè)的學(xué)生實(shí)際操作技能,讓學(xué)生提前接觸相關(guān)電子專業(yè)的研究?jī)?nèi)容以及設(shè)計(jì)方向,為將來(lái)更好地走向工作崗位,走向社會(huì)都打下了堅(jiān)實(shí)的基礎(chǔ)。為此,本學(xué)期伊始,經(jīng)教學(xué)計(jì)劃安排,我們電子信息工程專業(yè)的學(xué)生開(kāi)展了為期一周的電子線路CAD實(shí)習(xí)。

1.1實(shí)習(xí)目的

本次實(shí)習(xí)旨在通過(guò)學(xué)生親自動(dòng)手設(shè)計(jì)描述電路板,進(jìn)而:

1.學(xué)會(huì)運(yùn)用protel軟件繪制原理圖以及PCB圖進(jìn)行制板,進(jìn)而通過(guò)完整的設(shè)計(jì)流程設(shè)計(jì)出符合需要的電路板。

2.通過(guò)實(shí)習(xí)與具體操作,認(rèn)識(shí)并且了解諸如電源,555時(shí)鐘,比較器,跑馬燈,觸摸延時(shí)器以及光控調(diào)音共六個(gè)模塊的工作過(guò)程以及原理,并且知道集成塊4017、74HC240,以及三端穩(wěn)壓器,555集成塊,LM393及電位器等主要電子元器件作用和特性。

3.熟練掌握各種工具、命令的使用方法,并且掌握對(duì)各個(gè)模塊進(jìn)行原理圖設(shè)計(jì),庫(kù)文件加載等操作步驟,進(jìn)而生成PCB文件,設(shè)計(jì)出完整的PCB版圖的整體操作流程以及設(shè)計(jì)方法。

4.培養(yǎng)并提高學(xué)生對(duì)于電子工程設(shè)計(jì)的實(shí)際操作技能,并提前接觸相關(guān)電子專業(yè)的研究?jī)?nèi)容以及設(shè)計(jì)方向,為將來(lái)更好地走向社會(huì)打下堅(jiān)實(shí)的基礎(chǔ)。

1.2實(shí)習(xí)任務(wù)及要求

此次電子線路CAD實(shí)習(xí)任務(wù)是要求應(yīng)用Protel或者AltiumDesign軟件,繪制SCH原理圖,并建立相應(yīng)的庫(kù)文件,進(jìn)行原理圖符號(hào)以及元器件封裝的設(shè)計(jì),并在產(chǎn)成網(wǎng)絡(luò)表格后,生成相應(yīng)的PCB文件,設(shè)計(jì)其所對(duì)應(yīng)的封裝設(shè)計(jì),并且進(jìn)行布局以及布線,最終導(dǎo)出完整的PCB板圖文件,完成電路板設(shè)計(jì)。

第3頁(yè)共12頁(yè)電子線路CAD實(shí)習(xí)

其中主要的操作流程為:1.繪制電路原理圖

1)打開(kāi)protel軟件,建立DDB設(shè)計(jì)總文檔,建立SCH文件,并且進(jìn)行相應(yīng)的圖紙,捕獲柵格等SCH工作環(huán)境設(shè)置。

2)查找并設(shè)置元器件,必要時(shí)自己動(dòng)手繪制元器件庫(kù),進(jìn)行原理圖的繪制。3)生成網(wǎng)絡(luò)表。2.生成PCB文件

1)新建PCB文件,并且設(shè)置相應(yīng)的工作環(huán)境。

2)載入原理圖以及元器件封裝庫(kù),并且進(jìn)行新元器件封裝的編輯和保存。3)將所繪制的原理圖文件導(dǎo)入,生成相應(yīng)的PCB文件。3.PCB版圖的布局

1)畫出PCB電氣邊界框,將所用PCB文件進(jìn)行自動(dòng)布局,再進(jìn)行手動(dòng)布局調(diào)整。

2)設(shè)置布線規(guī)則,進(jìn)行自動(dòng)布線,接著進(jìn)行手動(dòng)布線修改,完成整個(gè)電路板的設(shè)計(jì)。

1.3實(shí)習(xí)安排

時(shí)間:201*年2月25日(第1周星期一)至201*年3月1日(第1周星期五)具體安排:

1.星期一,明確實(shí)習(xí)任務(wù),進(jìn)行原理圖繪制;

2.星期二,自制元件庫(kù),繪制原理圖(周二下午學(xué)生自習(xí),撰寫實(shí)習(xí)日志);3.星期三,繪制印刷電路板;

4.星期四,繪制印刷電路板,撰寫實(shí)習(xí)報(bào)告;5.星期五,答辯,提交實(shí)習(xí)報(bào)告。

2實(shí)習(xí)內(nèi)容

2.1電子線路CAD實(shí)習(xí)電路板分析

實(shí)習(xí)中,要求繪制的實(shí)習(xí)CAD電路板總共由6個(gè)模塊所組成,分別為電源

第4頁(yè)共12頁(yè)電子線路CAD實(shí)習(xí)

模塊,觸摸延時(shí)模塊,光控調(diào)音模塊,跑馬燈模塊,555時(shí)鐘模塊以及比較器模塊。在電路板設(shè)計(jì)中,需要對(duì)六個(gè)模塊分別進(jìn)行電路原理圖的繪制,再將形成的整個(gè)總電路原理圖進(jìn)行生成PCB文件,進(jìn)而完成整個(gè)電路板版圖的設(shè)計(jì)。

2.2實(shí)習(xí)電路板設(shè)計(jì)

2.2.1.繪制電路原理圖

1.1建立設(shè)計(jì)數(shù)據(jù)庫(kù)DDB總文件,并新創(chuàng)建SCH原理圖設(shè)計(jì)文件,進(jìn)行相應(yīng)的圖紙,捕獲柵格等參數(shù)設(shè)置:

1)選取菜單命令File/New,單擊工作窗口的SchematicDocument圖標(biāo),完成新原理圖文件的創(chuàng)建,當(dāng)其圖標(biāo)處于高亮編輯狀態(tài)時(shí),單擊該文件,輸入新文件名,并且雙擊其圖標(biāo),啟動(dòng)原理圖編輯器。

2)選取命令Design/Options編輯對(duì)話框,在StandardStyle選項(xiàng)處單擊下拉框中的“A3”處,設(shè)置圖紙尺寸。選中Grids復(fù)選框,在后面的文本框中輸入所要設(shè)定的值。在圖紙標(biāo)題欄中設(shè)置標(biāo)題及日期。

1.2建立Sch庫(kù)文件,加載元器件原理圖符號(hào)庫(kù),并進(jìn)行新元器件的編輯和保存:

1)在設(shè)計(jì)瀏覽器管理窗口中單擊BrowseSch標(biāo)簽,打開(kāi)原理圖編輯器管理窗口中的Add/Remove按鈕,在查找范圍中列出的元器件原理圖符號(hào)庫(kù)列表中單擊所需的庫(kù)文件,此處選中MiscellaneousDevices.ddb,以及ProtelDOSSchematicLibraries.ddb.然后單擊Add按鈕。在下方對(duì)話框單擊OK即可。

2)選取菜單命令File/New,單擊工作窗口的SchematicLibraryDocument圖標(biāo),生成原理圖庫(kù)文件,此時(shí)自己可編輯系統(tǒng)加載庫(kù)中沒(méi)有的元器件,編輯保存即可。

1.3模塊原理圖器件的放置以及原理圖的設(shè)計(jì)。

1)在所加載的元器件庫(kù)中找到所需要的元器件,單擊鼠標(biāo)左鍵選中,后單擊Place按鈕,或者直接雙擊此元件,即可完成元件的放置。依次按此步驟完成模塊原理圖器件的放置。

2)用鼠標(biāo)左鍵雙擊放置的元器件,在彈出的屬性對(duì)話框中設(shè)置LibRef,FootPrint,及Desionate等元器件屬性。

3)選取菜單命令Place/Wire,進(jìn)行元器件引腳與引腳之間的導(dǎo)線繪制,進(jìn)而

第5頁(yè)共12頁(yè)電子線路CAD實(shí)習(xí)

完成整個(gè)原理圖的布局設(shè)置。完成的模塊原理圖如下:

8D7CLKLED0U3R64705VVCC4RQ3CLKR510KR104705VD8LED02TRIGDIS7GND5CVoltTHR5556R9100KC90.01uF1GNDC810U/16V555時(shí)鐘模塊GND

J12D1圖2.1555時(shí)鐘模塊

U112R11K7805C2220uF/16VC3104D3LED01CONINGND4007D2OUT4007D4C1470uF/25VC41043GND4007D54007電源模塊

圖2.2電源模塊

R21MVCCR31K5VU28VCCVCCJ22C7CON1104C61044RQ3R41K2TRIGDIS7D6LED0C510U/16V5GNDCVolt555THR61GND觸摸延時(shí)開(kāi)關(guān)模塊GND

圖2.3觸摸延時(shí)開(kāi)關(guān)模塊

第6頁(yè)共12頁(yè)GMDZ5V10KR11

R75V5V10電子線路CAD實(shí)習(xí)

J312U48CONVCC4RQ3R12240Q190132TRIGDIS75GNDCVoltC11THR6GNDC10555104GND1104光控調(diào)音模塊圖2.4光控調(diào)音模塊

U5GND1192468111315171G2G1A11A21A31A42A12A22A32A4VCC205VU6CLK151413RSTVDDCLKCKENCO0123456789GNDCD4017Q3901416123247101569115VY1Y2Y3Y4Y5Y6Y7Y818161412975310GND74HC240GNDR13R1410K10KQ29014GND8R15470GNDR16470R17470R18470R19470R20470R21470R22470R23470R24470跑馬燈模塊D9LED0D10LED0D11LED0D12LED0D13LED0D14LED0D15LED0D16LED0D17LED0D18LED05V

圖2.5跑馬燈模塊

5VR2510KR261M0.001uFC12GNDR3051KR33R3451K51K5VU7ALM393AN1J4GNDR275.1K5VR29R3151K51KR32470R35R365V1KGNDR371KD19*51KU7BLM393ANR2810K2CON比較器模塊GND圖2.6比較器模塊

第7頁(yè)共12頁(yè)電子線路CAD實(shí)習(xí)

4)繪出的圖進(jìn)行電氣檢查無(wú)誤后,生成網(wǎng)絡(luò)表文件,并對(duì)其進(jìn)行檢查,看是否有缺漏的元件名、參數(shù)及封裝,進(jìn)行錯(cuò)誤修正后,保存存盤。2.2.2設(shè)計(jì)PCB版圖

2.1啟動(dòng)PCB編輯器,進(jìn)行環(huán)境參數(shù)設(shè)置:

1)選取菜單命令File/New,單擊工作窗口的PCBDocument圖標(biāo),創(chuàng)建一個(gè)PCB文件。雙擊圖標(biāo),啟動(dòng)PCB編輯器。

2)打開(kāi)設(shè)置文檔選項(xiàng)對(duì)話框,單擊Layers標(biāo)簽,設(shè)置工作層面。單擊

Options選項(xiàng)卡,可對(duì)捕捉柵格,及柵格樣式進(jìn)行設(shè)定。

2.2建立PCB庫(kù)文件,加載元器件封裝庫(kù),并進(jìn)行新元器件封裝的編輯和保存:

1)在Document文件夾中,單擊工作窗口的PCBLibraryDocument圖標(biāo),新建一個(gè)PCB封裝庫(kù)文件,打開(kāi)編輯管理器,進(jìn)行新元器件封裝的編輯與保存。

2)將建好的元器件封裝庫(kù)存盤。2.3PCB版圖的布局與布線:

1)設(shè)置電路板的類型。在PCB編輯器中,選取菜單命令Design/LayersStackManager對(duì)話框,進(jìn)行版型選擇,此處選擇SingerLayers(單層板)。2)進(jìn)行電路板的邊界規(guī)劃,在禁止布線層上繪制邊界,選取菜單命令Place/InteractiveRouting,即可繪制電路板邊界線,并預(yù)放置安裝孔。3)在PCB編輯管理窗口中下拉Browse選項(xiàng)里的Libraries選項(xiàng),單擊Add/Remove按鈕,彈出PCBLibraries對(duì)話框,選中MyPCBLib.ddb添加封裝庫(kù)。并從網(wǎng)絡(luò)表中導(dǎo)出文件。

4)選取菜單命令Tools/AutoPlacement,進(jìn)行元器件的自動(dòng)布局,并對(duì)并不合理的元器件選中,進(jìn)行手動(dòng)布局,以使電路板整齊美觀。

5)選取菜單命令Design/Rules,設(shè)置布線設(shè)計(jì)規(guī)則,對(duì)選項(xiàng)列表框里的安全間距,最小、大線寬,布線范圍,布線拐角模式等規(guī)則進(jìn)行設(shè)計(jì)。

6)選取菜單命令A(yù)utoRoute/All,進(jìn)行自動(dòng)布線設(shè)計(jì),選擇后,系統(tǒng)將對(duì)整板進(jìn)行自動(dòng)布線,對(duì)于有些不能滿足電路設(shè)計(jì)要求的布線,則采取手動(dòng)布線的方式修改,進(jìn)行位置調(diào)整和線條整齊化。最后完成整個(gè)電路板的設(shè)計(jì)。

第8頁(yè)共12頁(yè)電子線路CAD實(shí)習(xí)

3實(shí)習(xí)總結(jié)

參考文獻(xiàn)

【1】張瑾,張偉,張立!峨娐吩O(shè)計(jì)與制板Protel99SE入門與提高》。北京:人民郵電出版社,201*年版。

【2】高文煥。電子技術(shù)實(shí)驗(yàn),北京:清華大學(xué)出版社,201*年版。

【3】李建兵,周長(zhǎng)林。EDA技術(shù)基礎(chǔ)課程:Protel的應(yīng)用,國(guó)防科技出版社,201*年版。

第9頁(yè)共12頁(yè)電子線路CAD實(shí)習(xí)

附錄1電路原理圖:

12345678J12INGNDD1U1R11K7805C2220uF/16VC3104D3LED0CLKGNDLED081DCON4007D21OUT2DU3Q3CLKR510KR10470DIS75VD8LED04007D4C1470uF/25VC4104D73R4705V2TRIG4007D554007GNDVCCR64CVoltTHR55516R9100K電源模塊C90.01uFGNDC810U/16V5VR2510KR261M0.001uFC125VU7ALM393AN1J4GNDR275.1K5VR29R3151K51KU7BLM393ANR2810K555時(shí)鐘模塊GNDCGNDR3051KR33R3451K51KR32470R35R365V1KR371KD19LED0J22C7C6VCC1042451KR21MVCC5VCR31K2CONU2RVCC8Q3R41KGNDTRIGGNDDIS7D6LED0C510U/16V比較器模塊GNDCON11045CVolt555THR61GNDU5GND1192468111315171G2G1A11A21A31A42A12A22A32A4VCC205V觸摸延時(shí)開(kāi)關(guān)模塊GNDU6BCLK151413RSTVDDCLKCKENCO0123456789GNDCD4017Q3901416123247101569115VY1Y2Y3Y4Y5Y6Y7Y8181614129753B10GND74HC240GMDZ5V10K5V5V102U4RVCC8GNDR13R1410K10KQ29014R11R71J3GND8CON4Q3R12240Q190132TRIGGNDDIS7R15470GNDR16470R17470R18470R19470R20470R21470R22470R23470R244705CVoltC11THR6GNDC10A555跑馬燈模塊AD9LED0D10LED0D11LED0D12LED0D13LED0D14LED0D15LED0D16LED0D17LED0D18LED01041104光控調(diào)音模塊TitleGND5V張晴月電子線路實(shí)習(xí)原理圖NumberA3-095-Mar-201*G:\\電子線路CAD實(shí)習(xí)張晴月原理圖9.DDB7SheetofDrawnBy:81RevisionSizeA3Date:File:123456張晴月圖1.1電路原理圖

第10頁(yè)共12頁(yè)電子線路CAD實(shí)習(xí)

附錄2元件清單

Comment470uF/25V10uF/16V10410U/16V1034007LEDLED0CON901390141K1M10K47010光敏電阻100K8.2K2405.1K51K780555574HC240CD4017LM393TimerPotentiometerCapacitorDiodeDescriptionElectrolyticCapacitorC1ElectrolyticCapacitorC2CapacitorC5,C8C7D1,D2,D4,D5DesignatorFootprintRB.2/.4RB.2/.4RB.2/.4VP45-3.2DIODE-0.7LED-0DIP4TO-92ATO-92AAXIAL0.3LibRefELECTRO1ELECTRO1CapELECTRO1CapDIODELED0LED0Component_12N39042N3904RES2RES2RES2RES2RES2RES2RES2RES2RES2RPotRES2L7805ABVLM555J/883M74HC240HVB1RCD4017BCNLM393ANQuantity11721441131252513111111613111C3,C4,C6,C9,C10,C1VP45-3.2TypicalINFRAREDGaD3,D6,D7,D8J1,J3,J4NPNGeneralPurposeAQ1NPNGeneralPurposeAQ2,Q3R2,R26TypicalINFRAREDGaD9,D10,D11,D12,D1LED-0R1,R3,R4,R36,R37AXIAL0.3R5,R13,R14,R25,R2AXIAL0.3R6,R10,R15,R16,R1AXIAL0.3R7R8R9R11R12R27AXIAL0.3AXIAL0.3AXIAL0.3AXIAL0.3AXIAL0.3VR5TO220ABNJ08ADIP20N16E626-05R29,R30,R31,R33,RAXIAL0.3Precision1ARegulatorU1U2,U3,U4OctalBusBufferwith3U5DecadeCounter/DivideU6DualComparatorU7圖2.1元器件清單

第11頁(yè)共12頁(yè)電子線路CAD實(shí)習(xí)

附錄3PCB版圖

圖3.1PCB版圖

第12頁(yè)共12頁(yè)

友情提示:本文中關(guān)于《電子線路CAD實(shí)習(xí)報(bào)告書(總結(jié))(學(xué)生用表)》給出的范例僅供您參考拓展思維使用,電子線路CAD實(shí)習(xí)報(bào)告書(總結(jié))(學(xué)生用表):該篇文章建議您自主創(chuàng)作。

來(lái)源:網(wǎng)絡(luò)整理 免責(zé)聲明:本文僅限學(xué)習(xí)分享,如產(chǎn)生版權(quán)問(wèn)題,請(qǐng)聯(lián)系我們及時(shí)刪除。


電子線路CAD實(shí)習(xí)報(bào)告書(總結(jié))(學(xué)生用表)》由互聯(lián)網(wǎng)用戶整理提供,轉(zhuǎn)載分享請(qǐng)保留原作者信息,謝謝!
鏈接地址:http://www.seogis.com/gongwen/522581.html
最新文章