毛片在线视频观看,一级日韩免费大片,在线网站黄色,澳门在线高清一级毛片

薈聚奇文、博采眾長、見賢思齊
當(dāng)前位置:公文素材庫 > 報告體會 > 工作報告 > 數(shù)字電子實訓(xùn)報告

數(shù)字電子實訓(xùn)報告

網(wǎng)站:公文素材庫 | 時間:2019-05-28 14:57:40 | 移動端:數(shù)字電子實訓(xùn)報告

數(shù)字電子實訓(xùn)報告

廣西水利電力職業(yè)技術(shù)學(xué)院

電力工程系

班級:10電氣自動化技術(shù)

姓名:李文彬?qū)W號:201*212106指導(dǎo)老師:潘元忠實訓(xùn)地點:教學(xué)樓518

數(shù)字電子實訓(xùn)報告

數(shù)字電子電路是處理數(shù)字信號的電子電路,數(shù)字電路是利用信號(脈沖)的有無來代表和傳輸0和1這樣的數(shù)字信息的。數(shù)字電子在作為數(shù)值計數(shù)和運算電路時采用二進(jìn)制數(shù),每一位只有0和1兩種可能,還能進(jìn)行邏輯判斷和邏輯運算。數(shù)字電路重點在于研究各種數(shù)字電子輸出和輸入之間的相互關(guān)系,即邏輯關(guān)系,因此分析數(shù)字電路的數(shù)學(xué)工具是邏輯代數(shù),表達(dá)數(shù)字電路邏輯功能的方式主要是真值表、邏輯表達(dá)式和波形圖。一、實訓(xùn)目的:

熟悉集成塊(74HC00、74LS20、CD4511、CD4532、74HC138、74HC192、74LS175、NE555)的應(yīng)用;掌握基本邏輯關(guān)系、邏輯門電路、組合邏輯電路、觸發(fā)器電路,并熟悉其電路分析,列真值表,寫邏輯表達(dá)式,畫波形圖;根據(jù)邏輯門電路、組合邏輯電路、觸發(fā)器電路特性及應(yīng)用,設(shè)計出各種在現(xiàn)實生活中能用到的電路;同時加深這個學(xué)期學(xué)的理論知識,圍繞一個實際電子產(chǎn)品開發(fā)、設(shè)計、調(diào)試、制作、安裝的方法,了解產(chǎn)品的設(shè)計過程,制版技術(shù),收集資料的方法,理論聯(lián)系實際,提高我們的實際動手能力。二、實訓(xùn)內(nèi)容:

1、畫可仿真的方波電路圖

2、畫可仿真的四路搶答器電路圖

3、畫可仿真的水位顯示和控制的電路圖4、畫可仿真的逆計數(shù)的電路圖5、檢測集成塊的特性及好壞

6、根據(jù)以上所畫電路圖,單獨完成1~3個接線,并讓老師檢驗三、實訓(xùn)過程:

我們在網(wǎng)上下載EWB512軟件進(jìn)行畫圖,并對圖進(jìn)行仿真,達(dá)到要求時才能接線,我們所畫的圖為第一個方波電路,由于這個電路沒有用到數(shù)碼管,所以我們都是在電腦上進(jìn)行仿真,而沒有進(jìn)行接線,我們也問過老師了,老師說可以不用接這個圖的線了,很快第一個圖即第一個內(nèi)容就完成了。如圖(1)所示

第一天的下午我們來到教室,我們向老師反映我們在畫圖的過程中遇到的問題,先是沒有下載得軟件的同學(xué),可以向同學(xué)傳軟件過來安裝,就這樣軟件安裝問題沒有了。然后我們進(jìn)行對集成塊檢驗,老師首先教我們對集成塊4511的檢驗,要求我們畫圖仿真并接線給老師看,由于集成塊4511在仿真電路能進(jìn)行仿真,我們按照圖接線,可是接出來的電路在實際中沒有達(dá)到仿真時的效果,我們多數(shù)人接出來的都一樣,由于還沒問老師,我們都以為自己接錯了,就老是拆。后面問了老師才知道,集成塊4511的一個腳要接地才可以,但是在仿真時不能接地。我們根據(jù)老師教我們的方法又接一次,果然可以了,仿真得了。就這樣又完成一個內(nèi)容了。

接下來的這個任務(wù)是四路搶答器比較難。先是畫圖,由于線的錯綜復(fù)雜,在畫圖時花了很多時間,老師對我們說完成這個圖并接線實現(xiàn)效果就可以了,如圖(2)所示。

功能:能實現(xiàn)四路競賽搶答和顯示;原理:;555振蕩電路輸出CP脈沖使四個D觸發(fā)器讀入按鈕1~4的狀態(tài),當(dāng)有按鈕(1~4)按下,則Q1~Q4有0,74HC20輸出為0,封鎖CP脈沖,四個D觸發(fā)器不能再讀入后續(xù)的狀態(tài),從而實現(xiàn)搶答,然后編碼電路將Q1~Q4的狀態(tài)編成0001~0100送CD4511譯碼輸出,顯示搶答成功的隊號。

但是當(dāng)我們畫好圖后,另外的問題又出來,就是接線的問題。還沒開始接線時,我們就知道這個圖很麻煩,接的線多容易出錯,要特別的認(rèn)真仔細(xì)的接。由于畫這個圖時,把之前老師對我們說的集成塊4511的一個腳接地給忘了。以至于我們在接這個四路搶答器時出現(xiàn)了很多問題,當(dāng)我們想起后,在實際中把它改過來了,不過還是有很多問題。我們照圖不斷的檢查,還是沒有錯。于是老師又讓我們對集成塊555進(jìn)行檢測,在檢測時也出現(xiàn)很多問題,沒有達(dá)到集成塊555的特性,于是又檢測電路板的問題。由于自己找不到,我就向別的同學(xué)幫忙,最后還找了老師幫忙,原來是電阻沒有焊接好造成的,對板檢測完之后,本以為可以了,可是還是不得。這次問題挺難的,全班都不知道,后面又找老師幫忙了,老師在網(wǎng)上對集成塊74175進(jìn)行查找,并與實際的的管腳進(jìn)行對比。終于問題找到了,原來是實際中集成塊74175的十四腳、十五腳與仿真的不一樣,只要我們在接線是改過來就可以了。我們根據(jù)老師說的,對電路重新接過,很快我們就有同學(xué)接好了,由于線的復(fù)雜,我在接的時候老是接錯,后面叫同學(xué)幫檢查,自己也檢查,找到問題所在并改過來了,原來是少了一條線,接上后終于能實現(xiàn)仿真時的效果了,也終于完成了這周的實訓(xùn)。

四、實訓(xùn)體會:

這周是我們的數(shù)電實訓(xùn),老師給我們很多任務(wù),其實說多也不多,有四五個,就是有點難度。在實訓(xùn)過程中出現(xiàn)很多問題,不過都已一一解決了。不過最能考驗我們的是四路搶答器的接線,認(rèn)真,仔細(xì),反復(fù)檢查這三個步驟,一步都不能馬虎,否則接線就很容易出現(xiàn)錯誤,也是檢驗我們是否細(xì)心的關(guān)鍵。接線時的失敗,更能體現(xiàn)出我們在失敗時是以什么心態(tài)去面對問題的。不管失敗多少次,只要我們不放棄,就一定能找到問題的解決方法,同時不要忘記你的身邊還很多朋友在支持你。在你需要幫助時,他們一定會給你最大的鼓勵及幫助。也讓我在這次實訓(xùn)的過程中克服了自己,讓以前不敢問別人的問題的我,在這次實訓(xùn)中,我鼓足了勇氣去問別人,這是我最大的收獲。通過這次實訓(xùn),也增加了我們對理論知識的了解,加強了對實際操作的認(rèn)識,也知道實際問題要實際解決。

擴展閱讀:數(shù)字電子鐘實習(xí)報告

電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

CHANGSHAUNIVERSITYOFSCIENCE&TECHNOLOGY

電子技術(shù)課程實習(xí)

數(shù)字電子時鐘的設(shè)計學(xué)生姓名:楊波學(xué)號:201*84250217班級:09-02專業(yè):電子信息工程指導(dǎo)教師:徐理英題目:電子技術(shù)課程實習(xí)

201*年12月

第1頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

1實習(xí)目的

掌握組合邏輯電路、時序邏輯電路及數(shù)字邏輯電路系統(tǒng)的設(shè)計、安裝、測試方法;

進(jìn)一步鞏固所學(xué)的理論知識,提高運用所學(xué)知識和解決實際問題的能力;提高電路布局、布線及檢查和排除故障的能力;

此次實習(xí)是設(shè)計數(shù)字電子時鐘,為了了解數(shù)字電子時鐘的原理,掌握數(shù)字鐘的設(shè)計方法,熟悉集成電路的使用方法。從而學(xué)會制作數(shù)字電子時鐘。而且通過數(shù)字電子鐘的制作進(jìn)一步的了解各種在制作中用到的中小規(guī)模集成電路的作用及實用方法,再通過使用Multisim制作電路,進(jìn)行仿真驗收。實際應(yīng)用能力,獨立完整地設(shè)計出具有一定功能的電子電路。且由于數(shù)字電子時鐘包括組合邏輯電路和時序邏輯電路,通過這次的實習(xí)可以進(jìn)一步學(xué)習(xí)和掌握各種組合邏輯電路與時序電路的功能和使用方法。為以后單片機的學(xué)習(xí)和應(yīng)用打下基礎(chǔ)。

2實習(xí)內(nèi)容

2.1實習(xí)設(shè)計

2.1.1實習(xí)設(shè)計題目描述和要求

⑴設(shè)計一個有“時”、“分”、“秒”(24小時59分59秒)顯示。⑵有時間設(shè)置,時間清零(復(fù)位),時間修改,停止等功能。⑶選做:整點報時,星期顯示

第2頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

⑷用555定時器設(shè)計一個秒鐘脈沖發(fā)生器,輸入1HZ的時鐘信號。⑸用同步十進(jìn)制集成計數(shù)器74LS160設(shè)計一個分秒鐘計數(shù)器,即六十進(jìn)制計數(shù)器。

⑹用同步十進(jìn)制集成計數(shù)器74LS160設(shè)計一個24小時計數(shù)器。⑺譯碼顯示電路顯示時間。

⑻用中小規(guī)模集成電路組成電子鐘,并用Multisim進(jìn)行操作,調(diào)試,仿真。2.1.2可行方案方案一

⑴采用晶體振蕩器

晶體振蕩器電路給數(shù)字鐘提供一個頻率穩(wěn)定準(zhǔn)確的32768HZ的方波信號,可保證數(shù)字鐘的走時準(zhǔn)確及穩(wěn)定。

⑵用CD4060和D觸發(fā)器作分頻器

數(shù)字鐘的晶體振蕩器輸出頻率較高,為了得到1Hz的秒信號輸入,要對振蕩器的輸出信號進(jìn)行分頻。CD4060在數(shù)字集成電路中可實現(xiàn)的分頻次數(shù)最高,而且CD4060還包含振蕩電路所需的非門,使用更為方便。CD4060計數(shù)為14級2進(jìn)制計數(shù)器,可以將32768HZ的信號分頻為2HZ,其次CD4060的時鐘輸入端兩個串接的非門,因此可以直接實現(xiàn)振蕩和分頻的功能。D觸發(fā)器是構(gòu)成二分頻的計數(shù)器,這樣就得到了1HZ秒脈沖信號。

⑶采用74Ls160做計時器方案二:

⑴采用555構(gòu)成的多偕振蕩電路

振蕩器電路選用555構(gòu)成的多偕振蕩器,設(shè)振蕩頻率f=1000HZ,其中的電位器可以微調(diào)振蕩器的輸出頻率。

⑵用74LS90作分頻器

通常實現(xiàn)分頻器的電路是計數(shù)器電路,一般采用多級10進(jìn)制計數(shù)器來實現(xiàn)。分頻器的功能有兩個:一是產(chǎn)生標(biāo)準(zhǔn)秒脈沖信號;二是提供功能擴展電路所需的

第3頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

信號。選用中規(guī)模集成電路74LS90可以完成以上功能。如圖所示,將3片74LS90級聯(lián),每片為1/10分頻,三片級聯(lián)正好獲得1HZ的標(biāo)準(zhǔn)秒脈沖。

⑶采用74LS90做計時器

方案對比:秒信號發(fā)生器是數(shù)字電子鐘的核心部分,它的精度和穩(wěn)度決定了數(shù)字鐘的質(zhì)量,而由于用555組成的頻率發(fā)生器電路不穩(wěn)定,而相對方案一而言,電路較為復(fù)雜,所以我們采用方案一:二十四進(jìn)制電路和六十進(jìn)制電路都是用兩個74LS160組,七進(jìn)制電路同樣用一個74LS160,輸入方波信號是用晶體振蕩器提供,譯碼驅(qū)動器是用CD4511。分頻器采用一片CD4060和一片74LS90組成,分頻后輸出1Hz的方波信號。

秒、分、時分別為60、60和24進(jìn)制計數(shù)器。秒、分均為60進(jìn)制,顯示為00~59,他們的個位為十進(jìn)制,十位為六進(jìn)制。分秒功能的實現(xiàn):用兩片74LS160組成60進(jìn)制遞增計數(shù)器。時為二十四進(jìn)制計數(shù)器,顯示為00~23,個位仍為十進(jìn)制,而十位為三進(jìn)制,但當(dāng)十進(jìn)制計數(shù)到2,個位計數(shù)到4是清零,就是二十四進(jìn)制。時功能的實現(xiàn)用兩片74LS160組成24進(jìn)制遞增計數(shù)器。星期為七進(jìn)制遞增計數(shù)器,即1~7,當(dāng)顯示為7時,時的進(jìn)位作為星期顯示的進(jìn)位信號,使星期至數(shù)到1,實現(xiàn)一個循環(huán),用一個74LS160構(gòu)成7進(jìn)制可以實現(xiàn)。時間清零(復(fù)位)的實現(xiàn)是通過74LS160芯片的強制清零端R非實現(xiàn),正常計數(shù)時,R非接高電平。需要復(fù)位時,R非接低電平,通過7個與門可以實現(xiàn)。校時電路通過開關(guān)和與門實現(xiàn),需要校時分鐘時,通過開關(guān)斷開秒鐘傳遞過來的進(jìn)位信號。再由另外的開關(guān)的接與斷,直接加高電平信號(+5V)作為74LS160的計數(shù)信號,缺陷是只能實現(xiàn)遞增的校時。信號的產(chǎn)生由555定時器構(gòu)成施密特觸發(fā)器實現(xiàn)脈沖發(fā)生器,輸入1HZ的時鐘信號時鐘的秒信號。

2.2數(shù)字電子鐘的組成

2.2.1數(shù)字電子鐘的基本邏輯功能框圖

第4頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

信號產(chǎn)生分頻成秒信號復(fù)位清零電路校正電路星期計數(shù)時計數(shù)分計數(shù)秒計數(shù)顯示電路顯示電路顯示電路顯示電路報時電路

2.2.2秒信號發(fā)生器

秒信號發(fā)生器主要有晶體振蕩器和分頻器電路組成。⑴晶體振蕩器電路

晶體振蕩器電路給數(shù)字電路提供了一個頻率準(zhǔn)確的32768HZ的方波信號,可保證數(shù)字電子鐘的走時準(zhǔn)確及穩(wěn)定,不管是指針式的電子鐘還是數(shù)字顯示的電子鐘都使用晶體振蕩器電路。⑵分頻器電路

分頻器電路將32768HZ的高頻方波信號經(jīng)32768(215)次分頻后得到1HZ的方波信號供秒計數(shù)器進(jìn)行計數(shù)。分頻器實際上也是計數(shù)器。分頻器主要是由CD4060和觸發(fā)器組成。CD4060由一振蕩器和14級二進(jìn)制串行計數(shù)器位組成,

第5頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

振蕩器的結(jié)構(gòu)可以是RC或晶振電路,CR為高電平時,計數(shù)器清零且振蕩器使用無效。所有的計數(shù)器位均為主從觸發(fā)器。在CP1(和CP0)的下降沿計數(shù)器以二進(jìn)制進(jìn)行計數(shù)。在時鐘脈沖線上使用斯密特觸發(fā)器對時鐘上升和下降時間無限制。故分頻器中的觸發(fā)器只需是二分頻的就行了,這里使用的是用D觸發(fā)器構(gòu)成的T’觸發(fā)器。

2.2.3時、分、秒計數(shù)電路

時、分、秒計數(shù)器電路由秒個位和秒十位,分個位和分十位及時個位和時十位計數(shù)器電路構(gòu)成,其中秒個位和秒十位計數(shù)器,分個位和分十位計數(shù)器為60進(jìn)制計數(shù)器,而時個位和時十位為24進(jìn)制計數(shù)器。

2.2.4譯碼顯示電路⑴譯碼驅(qū)動電路

譯碼驅(qū)動電路將計數(shù)器輸出的8421BCD碼轉(zhuǎn)換為數(shù)碼管需要的邏輯狀態(tài),并且為保證數(shù)碼管正常工作提供足夠的工作電路。

⑵數(shù)碼管電路

數(shù)碼管通常有發(fā)光二極管(LED)數(shù)碼管和液晶(LCD)數(shù)碼管,本設(shè)計提供的為LED數(shù)碼管,共陰極。在每兩個數(shù)碼管之間接入一個大約500Ω的電阻來限制數(shù)碼管的電流來保護(hù)數(shù)碼管。

2.2.5校時電路

通過開關(guān),觸發(fā)器,邏輯門組成的校時電路來校時。校時電路時用來對“時”、“分”顯示數(shù)字進(jìn)行校對調(diào)整的。校時時斷開了前后的時鐘進(jìn)位信號。

2.2.6整點報時電路

通過燈,邏輯門組成的正點報時電路來報時。整點報時電路時根據(jù)計時系統(tǒng)的輸出狀態(tài)產(chǎn)生一脈沖信號,此信號為高電平時,輸入使燈亮。低電平時不亮。

2.2.7清零電路

第6頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

通過開關(guān),電源,邏輯門等組成的清零電路對電路整體清零。整體清零電路是根據(jù)74LS160計數(shù)器在2,3腳均為1時清零的特點用電源,開關(guān)和邏輯門組成的清零電路對“時”、“分”、“秒”、“星期”顯示數(shù)字清零。

2.2.8星期顯示電路

通過計數(shù)器,邏輯門構(gòu)成的七進(jìn)制循環(huán)實現(xiàn)星期顯示。小時的十位進(jìn)位信號作為星期的計數(shù)器工作CLK信號,來一個脈沖,就會使星期計數(shù)器加一,當(dāng)加到七時,再來一個進(jìn)位信號,則利用同步制數(shù)的方式使星期計數(shù)器制數(shù)為一。實現(xiàn)循環(huán)。缺點是,當(dāng)電路剛開始工作時,星期顯示為0,必須進(jìn)行人工的校時。

2.3數(shù)字電子鐘的設(shè)計

2.3.1秒信號發(fā)生器的設(shè)計及改進(jìn)

產(chǎn)生秒信號可以用晶體振蕩電路實現(xiàn)和用555電路構(gòu)成的1KZ多諧振蕩器。石英晶體振蕩器的特點是振蕩頻率準(zhǔn)確、電路簡單、頻率易調(diào)整。它還具有壓電效應(yīng),在晶體某一個方向加一電場,則在與此垂直的方向上產(chǎn)生機械振動,有了機械振動,就會在相應(yīng)的垂直面上產(chǎn)生電場,從而機械振動和電場互為因果。這種循環(huán)過程一直持續(xù)到晶體的機械強度停止時,才達(dá)到最后的穩(wěn)定,這就是壓電諧振的頻率即為晶體振蕩器的固有頻率。利用兩個非門G1,G2自我反饋使他們工作在線性狀態(tài),然后利用石英晶體JU來控制振蕩頻率,同時利用電容C1來作為兩個非門之間的耦合,兩個非門輸入和輸出之間并接的電阻R1,R2作為負(fù)反饋元件用,由于反饋電阻很小,可以近視認(rèn)為非門的輸出輸入壓降相等。電容C2是為了防止寄生振蕩。頻率是32768HZ,把石英晶體串接人非門1、2組成的振蕩反饋電路中,非門3是振蕩整形緩沖級。憑借與石英晶體串聯(lián)的微調(diào)電容,對振蕩器頻率做微量調(diào)節(jié)。如圖(1)所示

第7頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

圖1晶體振蕩器電路

一般來說,振蕩器的頻率越高,計時精度越高,但耗電量將增大。如果精度要求不高,也可以采用由集成電路定時器555與RC組成的多諧振蕩器。用晶體振蕩器產(chǎn)生的信號穩(wěn)定而且為標(biāo)準(zhǔn)的矩形波信號,由實驗分析得到的結(jié)論是:晶體振蕩器產(chǎn)生的信號頻率過高,用多級7490N分頻器得不到標(biāo)準(zhǔn)的秒信號,故這種方案不可行。用555電路構(gòu)成的1KZ多諧振蕩器,調(diào)節(jié)電阻可以實現(xiàn)改變輸出信號頻率。74LS160是二、五、十進(jìn)制同步加法器,用三片74LS160構(gòu)成三級十分頻器,將1KZ矩形波分頻得到1HZ基準(zhǔn)秒計時信號。如圖(2)所示

第8頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

圖2555分頻電路

2.3.2計數(shù)電路的設(shè)計

由6個74LS160計數(shù)器組成的時分秒的計數(shù)電路,74LS90是4位二進(jìn)制同步加計數(shù)器,它的設(shè)置為多片集成計數(shù)器的級聯(lián)提供方便。它具有異步清零,同步并行置數(shù),保持和計數(shù)的功能。

⑴六十進(jìn)制計數(shù)器

秒計數(shù)和分計數(shù)單元為60進(jìn)制計數(shù)器,其輸出為8421BCD碼。采用十進(jìn)制計數(shù)器74LS90來實現(xiàn)時間計數(shù)單元的計數(shù)功能。由圖可知,74LS90為異步清零計數(shù)器,有異步清零端2,3腳(高電平有效)。

秒個位計數(shù)單元為10進(jìn)制計數(shù)器,無需進(jìn)制轉(zhuǎn)換,當(dāng)QAQBQCQD變成1010時,通過與非門把它的清零端變成0,計數(shù)器的輸出被置零,跳過1010到1111的狀態(tài),又從0000開始,如此重復(fù)。

秒十位計數(shù)單元為6進(jìn)制,當(dāng)QAQBQCQD變成0110時,通過與非門把它的清零端變成0,計數(shù)器的輸出被置零,跳過0110到1111的狀態(tài),又從0000開始,如此重復(fù),十位和個位合起來就是60進(jìn)制。同時秒十位上的0110時,要把進(jìn)位信號傳輸給“分”個位的計數(shù)單元。

第9頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

分的個位和十位計數(shù)單元的狀態(tài)轉(zhuǎn)換和秒的是一樣的,只是它要把進(jìn)位信號傳輸給時的個位計數(shù)單元,電路圖如圖(3)、(4)所示

圖3秒計數(shù)器

圖4分計數(shù)器

⑵二十四進(jìn)制計數(shù)器

時計數(shù)單元為24進(jìn)制計數(shù)器,其輸出為8421BCD碼。采用十進(jìn)制計數(shù)器74LS90來實現(xiàn)時間計數(shù)單元的計數(shù)功能。當(dāng)“時”十位的QAQBQCQD為0000或0001時,“時”的個位計數(shù)單元是十進(jìn)制計數(shù)器,當(dāng)個位的QAQBQCQD到1010時,通過與非門使得個位74LS90上的清零端為0,則計數(shù)器的輸出直接置零,從0000開始。當(dāng)十位的QAQBQCQD為0010時,通過與非門使得該74LS90的清零端為0,“時”的十位又重新從0000開始,此時的個位計數(shù)單元變成4進(jìn)制,

第10頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

即當(dāng)個位計數(shù)單元的QAQBQCQD為0100時,就要又從0000開始計數(shù),這樣就實現(xiàn)了“時”24進(jìn)制的計數(shù)。如圖(5)所示

圖5時計數(shù)器

2.3.3譯碼顯示電路

一般譯碼顯示電路由譯碼管和顯示器組成,其基本原理:⑴顯示器原理(數(shù)碼管)

數(shù)碼管是數(shù)碼顯示器的俗稱。常用的數(shù)碼顯示管有半導(dǎo)體數(shù)碼管,熒光數(shù)碼管,輝光數(shù)碼管和液晶顯示器等。

⑵譯碼原理

譯碼是編碼的逆過程。它將編碼時賦予代碼的含義“翻譯”過來。實現(xiàn)譯碼的邏輯電路成為譯碼器。譯碼器輸出與輸入代碼有唯一的對應(yīng)關(guān)系。74LS47是輸出低電平有效的七段字形譯碼器,它在這里與數(shù)碼管配合使用。為了方便,在Multisim中使用的是四輸入顯示譯碼器DCO-HEX-ORANGE。如圖(6)所示

第11頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

圖6顯示電路

2.3.4校時電路的設(shè)計

數(shù)字鐘應(yīng)具有分校正和時校正功能,因此,應(yīng)截斷分個位和時個位的直接計數(shù)通路,并采用正常計時信號與校正信號可以隨時切換的電路接入其中。開關(guān)打向下時,校正信號和0相與的輸出為0,而開關(guān)的另一端接高電平,校時信號可以順利通過接通,電路處于校時狀態(tài);開關(guān)打向上時,情況正好相反,電路處于正常工作狀態(tài),如圖(7)所示

第12頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

圖7校時電路

2.3.5整點報時電路的設(shè)計

電路應(yīng)在正點前10秒鐘內(nèi)開始整點報時,即當(dāng)時間在59分50秒到59分59秒期間內(nèi),理論上用蜂鳴器響一秒停一秒的響五次,報時電路控制報時信號。但實際上只能用燈的亮滅來顯示整點報時。當(dāng)時間在59分50秒到59分59秒期間時,分十位、分個位和秒十位均保持不變,分別為5、9和5,因此可將分計數(shù)器十位的QC和QA、個位的QD和QA,秒計數(shù)器十位的QC和QA和秒個位的反相相與,從而產(chǎn)生報時控制信號。輸出的信號端子通過與門接到燈的一端,另一端接到地。當(dāng)出現(xiàn)高電平時,使燈亮。出現(xiàn)低電平燈不亮,這樣就實現(xiàn)了整點報時的功能。如圖(8)所示

第13頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

圖8整點報時電路

2.3.6清零電路的設(shè)計電路模塊圖如圖(9)所示

圖9清零電路

2.3.7星期顯示電路電路模塊圖如圖(10)所示

第14頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

圖10星期顯示模塊

2.4設(shè)計、調(diào)試仿真要點

繪制數(shù)字電子鐘時,注意,器件引線的連接一定要準(zhǔn)確,不可以重疊,“懸空端”、“清0端”、“置1端”要正確連接。在Multisim中,管腳的引線端高電平必須接+5V,低電平必須接地,懸空則視為無效。調(diào)試、修改、仿真步驟和方法如下:

⑴可以先將系統(tǒng)劃分為振蕩器、計數(shù)器、分頻器、譯碼顯示等部分,對它們分別進(jìn)行設(shè)計與調(diào)試,最后聯(lián)合起來進(jìn)行統(tǒng)一調(diào)試仿真。

⑵各部件設(shè)計安裝完畢后,用示波器或頻率計觀察石英晶體振蕩器的輸出頻率,看其是否為正常工作,頻率是否達(dá)到要求。

⑶將晶振輸出的脈沖信號送入分頻器,用示波器或頻率計觀察分頻器的輸出頻率是否達(dá)到設(shè)計要求。

⑷將頻率為1Hz的標(biāo)準(zhǔn)秒脈沖信號分別送入“時“分”、“秒”計數(shù)器,檢查各級計數(shù)器的工作狀況。

⑸將合適的BCD碼分別送入各級譯碼顯示器的輸入端,檢查數(shù)碼顯示是否正確。各部件調(diào)試正常后,進(jìn)行組裝聯(lián)調(diào)仿真,檢查校時電路是否可以實現(xiàn)快速校時。清零電路是否統(tǒng)一清零。通過利用校時電路快速將時間定到58分,然后看下一個進(jìn)位到來時,即達(dá)到59分50秒時,看整點報時電路的燈是否亮了。最

第15頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

后對系統(tǒng)進(jìn)行微調(diào)。

⑹當(dāng)分頻器和計數(shù)器調(diào)試正常后,觀察電子鐘是否正常地工作。

2.5電路的仿真與調(diào)試過程

2.5.1仿真

在對電路圖進(jìn)行繪制時,可以通過對各個模塊分別進(jìn)行仿真,仿真成功了,就可以進(jìn)行下一個模塊繪制。當(dāng)出現(xiàn)錯誤的時候,也很容易改變。如果將電路圖全部繪制了再進(jìn)行仿真的話,出現(xiàn)錯誤就會使整個電路圖失去作用,很難找出錯誤,給我們帶來了大量的工作量。2.5.2調(diào)試仿真過程

⑴分模塊調(diào)試,分成秒信號發(fā)生器電路,秒計數(shù)器和顯示電路,分計數(shù)器和顯示電路,時計數(shù)器和顯示電路,星期計數(shù)器和顯示電路,校時電路,整點報時電路,清零電路八大模塊來調(diào)試。

⑵仿真調(diào)試,合理布局和布線。盡量使繪制的電路圖清晰,明了。⑶仿真過程中如果出現(xiàn)故障,則不可以實現(xiàn)仿真。我們需要認(rèn)真仔細(xì)的查找錯誤,將其改正。

3實習(xí)心得體會

3.1實習(xí)出現(xiàn)的問題及解決

⑴電路進(jìn)行設(shè)計的時候,由于對許多集成芯片都不是很熟悉,特別是CD4060,晶體振蕩器和74LS08,就連平時常用的74LS74,74LS30都不能說出他們到底是什么集成芯片,導(dǎo)致設(shè)計的時候要經(jīng)常翻閱資料,減慢了設(shè)計進(jìn)程。后來將每個芯片的管腳都寫在同一張紙上,這樣忘記了就可以隨時看,對于設(shè)計有很大的幫助。

⑵本是打算用74LS193可加可減計數(shù)器來設(shè)計電子鐘的,可是,有一個關(guān)鍵問題不能夠很好的解決,就是從0變回9難以實現(xiàn),所以我們后來決定放棄用

第16頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

193轉(zhuǎn)而用74LS90來設(shè)計。

⑶當(dāng)顯示器正常的顯示時,我們卻發(fā)現(xiàn)了時計數(shù)上出現(xiàn)了20進(jìn)制的問題,每到19就直接跳到00。后來經(jīng)過檢查,發(fā)現(xiàn)原來我們的接線是完全沒有錯的,郁悶的是我們打印出來的電路圖比之前仿真的多了一條線,就是這條線接入了74的反向輸入端。當(dāng)我們將這條線拆下來后,我們的電子鐘很好的實現(xiàn)它預(yù)期的功能。

⑷在實現(xiàn)星期計數(shù)器時,我們需要的是時計數(shù)器的十位為2,個位為3時產(chǎn)生同步制數(shù)信號,將時計數(shù)器的十位和個位同時清零,這樣就實現(xiàn)了一個循環(huán)?墒俏覀儗r計數(shù)器的十位進(jìn)位端子接到星期計數(shù)器的信號輸入端CLK,當(dāng)時出現(xiàn)23時,下一個進(jìn)位信號不會使星期計數(shù)器計數(shù),始終為零。之后我們改進(jìn)了,將時計數(shù)器的輸出制數(shù)信號接到星期計數(shù)器的CLK,我們發(fā)現(xiàn),星期計數(shù)器工作,但是在時鐘為22時59分59秒的下一個信號到來時就會產(chǎn)生進(jìn)位信號,時鐘計數(shù)器還是繼續(xù)計數(shù),直到計數(shù)到24時制數(shù)為零。也就是說我們實現(xiàn)的是一天23小時,這樣就出現(xiàn)了很大的錯誤。后來通過小組討論,可以利用一個D觸發(fā)器來增加一個小時進(jìn)位信號,實現(xiàn)星期到小時是24的轉(zhuǎn)換。

⑸在進(jìn)行信號產(chǎn)生時,我們使用了晶體振蕩器產(chǎn)出標(biāo)準(zhǔn)秒信號和555多諧振蕩器產(chǎn)生標(biāo)準(zhǔn)秒信號時,發(fā)現(xiàn)利用晶體振蕩器產(chǎn)生30MHZ的頻率,利用74LS160分頻器實現(xiàn)分頻,要利用多級74LS160芯片來產(chǎn)生1HZ頻率,我們用示波器測試時,第一級產(chǎn)生的頻率為3MHZ,到第二級時,產(chǎn)生的頻率明顯偏小了,到了很多級以后,理論上產(chǎn)生1HZ頻率,但實際上產(chǎn)生的頻率卻很小。我們實現(xiàn)555多諧產(chǎn)生頻率時,用示波器觀察輸出的不是標(biāo)準(zhǔn)的矩形波信號,所以在計數(shù)時顯示器的變化時快時慢。后來通過利用改進(jìn)晶體振蕩器,使產(chǎn)生的頻率更接近標(biāo)準(zhǔn)秒信號。

⑹布局和布線時,由于版面設(shè)置過小,所以最后布局時,很多元器件都重疊了,很多線都看不清,查找錯誤時,很難找到錯誤。所以我們就盡量減短導(dǎo)線的長度以增強美觀。

⑺當(dāng)我們以為將所有的元器件線都連接好的時候,進(jìn)行仿真時,很驚訝地發(fā)現(xiàn)顯示器一點反應(yīng)都沒有!然而,我們就開始檢查,竟然發(fā)現(xiàn)我們每個芯片的高低電平都沒有接!這么的粗心大意的,這是我們以后都必須注意的。

第17頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

⑻在進(jìn)行校時電路時我們使用的是利用開關(guān)的通斷來控制。如我們進(jìn)行分鐘校時,我們要利用開關(guān)來斷開秒鐘的進(jìn)位信號和時鐘的進(jìn)位信號,通過直接在分的計數(shù)器CLK端加入高電平,實現(xiàn)分計數(shù)器的遞增校時。但當(dāng)我們連接線路時,發(fā)現(xiàn)斷開時鐘的進(jìn)位信號時,時鐘和星期的數(shù)碼顯示器直接不工作。實現(xiàn)分鐘的校時后,如果接通分鐘向時鐘的進(jìn)位信號,我們發(fā)現(xiàn)時鐘還是不工作。后來我們通過加人與門和或門來鎖住時鐘的信號,成功的實現(xiàn)校時電路。

3.2實習(xí)體會

大二的下學(xué)期和大三的上學(xué)期我們學(xué)習(xí)了模擬電子電路和數(shù)字電子電路,對電子技術(shù)有了一些初步了解,但那都是一些理論的東西。通過這次對數(shù)字鐘的設(shè)計與制作,讓我們了解了電路的設(shè)計程序,也對數(shù)字鐘的原理與設(shè)計理念有了一定的了解。我們知道了如何設(shè)計出1HZ的信號,也對時分秒的設(shè)計有了一定的了解,并且知道在實際電路一般步驟為由數(shù)字鐘系統(tǒng)組成框圖按照信號的流向分級安裝,逐級級聯(lián),這里的每一級是指組成數(shù)字鐘的各功能電路。級聯(lián)時如果出現(xiàn)時序配合不同步,或尖峰脈沖干擾,引起邏輯混亂,可以增加多級邏輯門來延時。

對于整體的布局和布線,如果布局太亂的話會導(dǎo)致錯誤的檢測仿真和影響美觀,布線不合理的話,如果出現(xiàn)了錯誤,會很難找到出錯的地方。同時,在此次的數(shù)字鐘設(shè)計過程中,我們更進(jìn)一步地熟悉了芯片的結(jié)構(gòu)及掌握了各芯片的工作原理和其具體的使用方法,也鍛煉了自己獨立思考問題的能力和通過查看相關(guān)資料來解決問題的習(xí)慣。雖然這只是一次簡單的課程設(shè)計,但通過這次課程設(shè)計我們了解了課程設(shè)計的一般步驟,和設(shè)計中應(yīng)注意的問題。設(shè)計本身并不是有很重要的意義,而是我們對待問題時的態(tài)度和處理事情的能力。至于設(shè)計的成績無須看的太過于重要,而是設(shè)計的過程,設(shè)計的思想和設(shè)計電路中的每一個環(huán)節(jié),電路中各個部分的功能是如何實現(xiàn)的。各個芯片能夠完成什么樣的功能,使用芯片時應(yīng)該注意那些要點。同一個電路可以用那些芯片實現(xiàn),各個芯片實現(xiàn)同一個功能的區(qū)別。

設(shè)計的時候應(yīng)該不怕麻煩,反復(fù)檢測,步步檢測,力求每一步都沒有錯誤?偟膩碚f,我們在這次課程設(shè)計中加強了理論知識的學(xué)習(xí)和提高了動手能力和思

第18頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

考能力以及分析問題,解決問題的能力。

這次的實習(xí)對我們來說,是一個很大的難度。怎么說了,對于我們大三的電子信息專業(yè)學(xué)生來說,我們都知道數(shù)電和模電是我們的必學(xué)知識,但直到大三了,我們根本沒有使用過Multisim軟件,不知道如何設(shè)計內(nèi)容,如何完成單獨的模塊等。而我們的任務(wù)是在兩周內(nèi)學(xué)會使用Multisim軟件,單獨設(shè)計數(shù)字電子鐘并畫出電路圖,最后進(jìn)行驗收仿真。這個確實挺有難度的。大家開始都很難理解,甚至有的同學(xué)直接想過放棄。但最后還是完成了,因為大家在一起通過相互鼓勵,打氣,遇到問題請教老師,同學(xué)之間相互討論,上網(wǎng)查找資料等一些方法,最后大家還是堅持的做完了。通過這次的實驗讓我們了解了團(tuán)隊合作的重要性,大家可以通過鼓勵打氣,做起事來起到事半功倍的效果。

通過這次的實習(xí),我們大家都認(rèn)識到了此次實習(xí)對我們的幫助,還讓我們明白了一些道理,就是對自己有用的東西,我們要去自己學(xué)習(xí),不要等著父母和老師來安排,到時候就什么都遲了。還有就是藝多不傷身,我們這些人中還是有同學(xué)會的,比如一班的王曉旭。他也是自學(xué)的,所以這次的實習(xí)對他只是讓他熟悉軟件而已,而且他還提高了自我的要求,他是完全自己設(shè)計電路,自己利用多種方法來完成對應(yīng)的模塊。這是我們都沒有做到的,但有人做到了,不是他比我們聰明,我們比他笨,而是我們在玩的時候,他用這個時間卻在學(xué)習(xí)。通過他的例子,我們能夠明白,其實每個人都是一樣的。只是別人看得遠(yuǎn),我們卻只是看著今天,過了今天也算完成了任務(wù)。通過這件事,讓我們徹底的覺悟了,就因為這個,通過這次的實習(xí),我深刻的認(rèn)識到了,理論知識和實踐相結(jié)合是教學(xué)環(huán)節(jié)中的相當(dāng)重要的一個環(huán)節(jié),只有這樣才能提高自己的操作能力,并且從中培養(yǎng)自己的獨立思考、勇于克服困難的能力。

在此次實習(xí)中,負(fù)責(zé)我們組得是徐理英老師,她盡自己最大的能力把所知道的都交給我們,不光只有知識,還有為人處事的態(tài)度。因為這周的實習(xí)是在冬天,天氣很冷。但每次遲到的都是我們學(xué)生,老師每次都是很早就道了,等著我們。從這樣的一件小事中,我們能夠體會到老師的熱情和對待我們的那種負(fù)責(zé)的態(tài)度,也讓我醒悟了,我們馬上就要出去工作了,如果我們還以我們現(xiàn)在的態(tài)度去對待工作的話,我們將會被這個社會所拋棄。在我們設(shè)計過程中遇到問題時,我

第19頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

們先是獨立思考,然后組員討論,最后在請教老師,因為我們沒有學(xué)過這個東西,所以免不了會有很多的問題,而每次請教徐老師,她總是在不勝其煩的教我們,甚至還在幫我們補習(xí)數(shù)電和模電知識。在此,我謹(jǐn)向老師們說聲:“謝謝老師!”。是你們又讓我學(xué)到了書本上學(xué)不到的東西,學(xué)到了對我一生有益的東西。

“學(xué)以致用”這句話是我們都體會到的道理!皩嵙(xí)前的自大,實習(xí)時的迷惘,實習(xí)后的深思”是我們實習(xí)前后的總結(jié)。有深思才有收獲,有深思才有提高。我也不會因為這次的實習(xí)的失誤而感到懊悔,相反,我會以這次實習(xí)的教訓(xùn)而去要求自己,提高自己。

我才認(rèn)為這是我最大的收獲。

3.3實習(xí)建議

由于在實際接線中有著各種各樣的條件制約著,在仿真中成功的電路接法,實際中因為芯片本身的特性而不一定能夠成功。例如我們在做振蕩器和分頻器時,我們首先是利用石英晶體振蕩器來產(chǎn)生高頻信號,然后利用5級7490N來實現(xiàn)分頻產(chǎn)生1HZ的標(biāo)準(zhǔn)秒信號,但到最后我們還是沒能實現(xiàn),晶體振蕩器可以產(chǎn)生30MHZ的頻率,但7490N卻不能實現(xiàn)分頻,老師說是軟件本身的問題,最后我們是利用74LS160實現(xiàn)的分頻。所以,在設(shè)計時應(yīng)考慮兩者的差異,從中找出最適合的設(shè)計方法。

在設(shè)計過程中經(jīng)常會遇到問題,例如我們的實驗,如何讓時的進(jìn)位信號加到星期的CLK,并且保證星期與小時是24的轉(zhuǎn)換關(guān)系等等。所以應(yīng)該要加強理論知識的學(xué)習(xí),當(dāng)遇到問題時才懂得“對癥下藥”,并且要加強動手能力的訓(xùn)練和實際的操作能力。

不要在實驗到來的時候才去復(fù)習(xí)學(xué)過的知識,平時的基礎(chǔ)知識應(yīng)該扎實點,厚積薄發(fā),這樣會讓設(shè)計事半功倍。我們這學(xué)期剛學(xué)完了數(shù)電知識中的進(jìn)制構(gòu)造,沒想到我們的實習(xí)設(shè)計題目中的核心就用到了,而且看到自己設(shè)置的電路結(jié)構(gòu)很成功,覺得很有成就感。以前大家都抱著這樣的看法,覺得我們學(xué)了這么多的理論知識,但當(dāng)我們真正進(jìn)入社會了,用到的會很少甚至完全沒有用,大家在這里

第20頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

是浪費青春。但通過這次的實習(xí),大家都覺得以前的看法不對,如果我們知道原理的話,設(shè)計實驗時會得心應(yīng)手。很多同學(xué)在設(shè)計實驗時,開始去上網(wǎng)找,網(wǎng)上找不到就去問同學(xué),心里在懊悔,‘要是我自己會該多好啊’!能過及時看到不足,然后自己去努力學(xué)習(xí),彌補不足。

第21頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

4附錄

4.1設(shè)計電路圖

第22頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

4.2設(shè)計仿真圖

第23頁共24頁電子技術(shù)課程實習(xí)數(shù)字電子鐘的設(shè)計

4.3元器件清單

第24頁共24頁

友情提示:本文中關(guān)于《數(shù)字電子實訓(xùn)報告》給出的范例僅供您參考拓展思維使用,數(shù)字電子實訓(xùn)報告:該篇文章建議您自主創(chuàng)作。

來源:網(wǎng)絡(luò)整理 免責(zé)聲明:本文僅限學(xué)習(xí)分享,如產(chǎn)生版權(quán)問題,請聯(lián)系我們及時刪除。


數(shù)字電子實訓(xùn)報告》由互聯(lián)網(wǎng)用戶整理提供,轉(zhuǎn)載分享請保留原作者信息,謝謝!
鏈接地址:http://www.seogis.com/gongwen/587811.html
相關(guān)文章