毛片在线视频观看,一级日韩免费大片,在线网站黄色,澳门在线高清一级毛片

薈聚奇文、博采眾長(zhǎng)、見(jiàn)賢思齊
當(dāng)前位置:公文素材庫(kù) > 計(jì)劃總結(jié) > 工作總結(jié) > 數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)總結(jié)報(bào)告

數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)總結(jié)報(bào)告

網(wǎng)站:公文素材庫(kù) | 時(shí)間:2019-05-29 15:22:53 | 移動(dòng)端:數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)總結(jié)報(bào)告

數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)總結(jié)報(bào)告

第二次實(shí)驗(yàn)是Quartus11原理圖輸入法設(shè)計(jì),由于是第一次使用Quartus11軟

件,實(shí)驗(yàn)中遇到了不少問(wèn)題,總結(jié)起來(lái)主要有以下幾個(gè):(1)在創(chuàng)建工程并且編譯通過(guò)之后得不到仿真波形

解決方法:經(jīng)過(guò)仔細(xì)檢查,發(fā)現(xiàn)在創(chuàng)建符號(hào)文件時(shí),未對(duì)其重新命名,使得符號(hào)文件名與頂層文件的實(shí)體名一樣。在改變符號(hào)文件名之后成功的得到了仿真波形。

(2)得到的仿真波形過(guò)于緊密不便于觀察

解決方法:重新對(duì)仿真域的時(shí)間進(jìn)行設(shè)定,并且對(duì)輸入信號(hào)的周期做相應(yīng)的調(diào)整,最終得到了疏密有致的仿真波形。

實(shí)驗(yàn)總結(jié)及心得體會(huì)

通過(guò)本次實(shí)驗(yàn)我初步掌握了Quartus11的使用方法,并且熟悉了電路板的使用。在實(shí)驗(yàn)具體操作的過(guò)程中,對(duì)理論知識(shí)(半加器和全加器)也有了更近一步的理解,真正達(dá)到了理論指導(dǎo)實(shí)踐,實(shí)踐檢驗(yàn)理論的目的。

實(shí)驗(yàn)操作中應(yīng)特別注意的幾點(diǎn):

(1)剛開(kāi)始創(chuàng)建工程時(shí)選擇的目標(biāo)芯片一定要與實(shí)驗(yàn)板上的芯片相對(duì)應(yīng)。(2)連接電路時(shí)要注意保證線與端口連接好,并且注意不要畫(huà)到器件圖形符號(hào)的虛線框里面。

(3)頂層文件的實(shí)體名只能有一個(gè),而且注意符號(hào)文件不能與頂層文件的實(shí)體名相同。

(4)保存波形文件時(shí),注意文件名必須與工程名一致,因?yàn)樵诙啻螢橐粋(gè)工程建立波形文件時(shí),一定要注意保存時(shí)文件名要與工程名一致,否則不能得到正確的仿真結(jié)果。

(5)仿真時(shí)間區(qū)域的設(shè)定與輸入波形周期的設(shè)定一定要協(xié)調(diào),否則得到波形可能不便于觀察或發(fā)生錯(cuò)誤。

心得體會(huì):剛接觸使用一個(gè)新的軟件,實(shí)驗(yàn)前一定要做好預(yù)習(xí)工作,在具體的實(shí)驗(yàn)操作過(guò)程中一定要細(xì)心,比如在引腳設(shè)定時(shí)一定要做到“對(duì)號(hào)入座”,曾經(jīng)自己由于這一點(diǎn)沒(méi)做好耗費(fèi)了很多時(shí)間。實(shí)驗(yàn)中遇到的各種大小問(wèn)題基本都是自己獨(dú)立排查解決的,這對(duì)于自己獨(dú)立解決問(wèn)題的能力也是一個(gè)極大地提高和鍛煉,總之這次實(shí)驗(yàn)我獲益匪淺。

第三次實(shí)驗(yàn)是用

VHDL語(yǔ)言設(shè)計(jì)組合邏輯電路和時(shí)序邏輯電路,由于

Quartus11軟件在之前已經(jīng)使用過(guò),所以本實(shí)驗(yàn)的主要任務(wù)就是編寫(xiě)與實(shí)驗(yàn)要求相對(duì)應(yīng)的VHDL程序。

總體來(lái)說(shuō)此次實(shí)驗(yàn)比較順利,基本沒(méi)有遇到什么問(wèn)題,但有幾點(diǎn)需要特別注意。首先是要區(qū)分實(shí)體名稱和結(jié)構(gòu)體名,這一點(diǎn)是程序編寫(xiě)的關(guān)鍵。其次在時(shí)序邏輯電路的設(shè)計(jì)實(shí)驗(yàn)中時(shí)鐘的設(shè)置很關(guān)鍵,設(shè)置不當(dāng)?shù)脑挿抡娌ㄐ慰赡懿徽_。通過(guò)本次實(shí)驗(yàn)我初步學(xué)會(huì)用VHDL語(yǔ)言編寫(xiě)一些簡(jiǎn)單的程序,同時(shí)也進(jìn)一步熟悉了Quartus11軟件的使用。

實(shí)驗(yàn)八彩燈控制電路設(shè)計(jì)與實(shí)現(xiàn)一、實(shí)驗(yàn)?zāi)康?/p>

1、進(jìn)一步了解時(shí)序電路設(shè)計(jì)方法2、熟悉狀態(tài)機(jī)的設(shè)計(jì)方法二、實(shí)驗(yàn)內(nèi)容

用VHDL語(yǔ)言設(shè)計(jì)并實(shí)現(xiàn)一個(gè)彩燈控制(八個(gè)發(fā)光二極管)電路,仿真并下載驗(yàn)證其功能。彩燈有兩種工作模式,可通過(guò)撥碼開(kāi)關(guān)或按鍵進(jìn)行切換。(1)單點(diǎn)移動(dòng)模式:一個(gè)點(diǎn)在八個(gè)發(fā)光二極管上來(lái)回亮

(2)幕布式:從中間兩個(gè)點(diǎn),同時(shí)向兩邊依次點(diǎn)亮直至全亮,然后再向中間點(diǎn)滅,依次往復(fù)。三、實(shí)驗(yàn)設(shè)計(jì)編碼LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYshineISPORT(clk,a:INSTD_LOGIC;b:OUTSTD_LOGIC_VECTOR(7DOWNTO0));ENDshine;

ARCHITECTUREstrucOFshineIS

SIGNALtemp:INTEGERRANGE0TO49999999;

SIGNALswitch:STD_LOGIC_VECTOR(2DOWNTO0);SIGNALclkout:STD_LOGIC;BEGIN

PROCESS(clk)BEGINIF(clk"eventandclk="1")THENIFtemp=49999999THENtempWHEN"0010"=>bbbbbbbbbbbbbbbbbbbbbbbbbbbbbbb回顧本學(xué)期的四次實(shí)驗(yàn),我的收獲頗豐,總結(jié)起來(lái)主要有以下幾點(diǎn)。

首先通過(guò)這幾次實(shí)驗(yàn)我掌握了Quarters11的使用,學(xué)會(huì)用VHDL語(yǔ)言編寫(xiě)一些簡(jiǎn)單的程序,實(shí)現(xiàn)簡(jiǎn)單計(jì)數(shù)器和譯碼器的功能。在實(shí)驗(yàn)的過(guò)程中我對(duì)書(shū)本上的理論知識(shí)有了更進(jìn)一步的理解,如門(mén)電路的延遲對(duì)輸出波形的影響、冒險(xiǎn)現(xiàn)象的產(chǎn)生原因等,真正達(dá)到了理論指導(dǎo)實(shí)驗(yàn),實(shí)驗(yàn)檢驗(yàn)理論的目的。

其次通過(guò)這幾次實(shí)驗(yàn)我深刻地意識(shí)到實(shí)驗(yàn)過(guò)程中注重細(xì)節(jié)的重要性。在Quartus11軟件的使用過(guò)程中有很多細(xì)節(jié)需要注意,比如引腳鎖定、輸入變量的周期設(shè)置等。曾經(jīng)自己就犯過(guò)一個(gè)錯(cuò)誤------在引腳鎖定之后沒(méi)有讓程序在運(yùn)行一遍,導(dǎo)致仿真完全正確,但下載到實(shí)驗(yàn)板上卻得不到正確的結(jié)果,因此而耗費(fèi)了很多時(shí)間。還有一次是保存波形文件時(shí)的一時(shí)疏忽將其命名為conut10,而工程名是count10,就是這樣一個(gè)小小的疏忽導(dǎo)致了自己怎么也得不到仿真波形?梢哉f(shuō)這幾次實(shí)驗(yàn)極大地磨練我細(xì)心認(rèn)真的實(shí)驗(yàn)品質(zhì)。

再次通過(guò)這幾次實(shí)驗(yàn)我的動(dòng)手能力和獨(dú)立解決問(wèn)題的能力也得到了不小的提高,實(shí)驗(yàn)中的很多問(wèn)題只能是在實(shí)驗(yàn)的具體操作過(guò)程中才能發(fā)現(xiàn)的,這些在預(yù)習(xí)的過(guò)程中都是沒(méi)有預(yù)想到的,都得自己臨場(chǎng)解決。比如最后一次實(shí)驗(yàn)需要增加一個(gè)時(shí)鐘分頻器,預(yù)習(xí)的時(shí)候自己根本沒(méi)有注意到這一點(diǎn),都是自己在實(shí)驗(yàn)的過(guò)程中想辦法解決的。另外通過(guò)這幾次實(shí)驗(yàn)我對(duì)這種仿真型實(shí)驗(yàn)的設(shè)計(jì)思想也有一些體會(huì),特別是用VHDL語(yǔ)言編寫(xiě)代碼時(shí),設(shè)計(jì)思想的簡(jiǎn)潔會(huì)給實(shí)驗(yàn)省去很多不便。

數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)總結(jié)報(bào)告

學(xué)院:電子工程

班級(jí):201*211204

姓名:徐浩然學(xué)號(hào):08210999

擴(kuò)展閱讀:數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)報(bào)告

數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)

數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)報(bào)告

一、實(shí)驗(yàn)?zāi)康?/p>

1、掌握觸發(fā)器組成的同步時(shí)序邏輯電路的一般設(shè)計(jì)方法;

2、掌握MSI時(shí)序邏輯器件74LS160、74LS194的邏輯功能和使用方法;3、熟悉MSI時(shí)序邏輯器件的一般設(shè)計(jì)方法。

二、實(shí)驗(yàn)儀器及設(shè)備

1、直流穩(wěn)壓電源、數(shù)字邏輯電路實(shí)驗(yàn)箱、萬(wàn)用表、示波器

2、TTLSSI邏輯門(mén)74LS00、74LS74、74LS76、74LS160、74LS194

三、實(shí)驗(yàn)內(nèi)容及步驟

1、二進(jìn)制計(jì)數(shù)器

試用觸發(fā)器設(shè)計(jì)一個(gè)模8的同步二進(jìn)制加法計(jì)數(shù)器,給出狀態(tài)圖、驅(qū)動(dòng)方程和邏輯電路圖,并完成實(shí)驗(yàn)驗(yàn)證。

2、模M=13的扭環(huán)計(jì)數(shù)器

下圖6-1所示的一自起動(dòng)扭環(huán)計(jì)數(shù)器的狀態(tài)圖。試用時(shí)序邏輯器件74LS94將該電路設(shè)計(jì)出來(lái),畫(huà)出邏輯電路圖并完成實(shí)驗(yàn)驗(yàn)證。(要求為同步電路)

11100001100000100000000000010000011111100011111001111110111111101111111110000000011100000010000000四、實(shí)驗(yàn)結(jié)果(數(shù)據(jù)、圖表、波形、程序設(shè)計(jì)等)

二進(jìn)制計(jì)數(shù)器

1、真值表:狀態(tài)轉(zhuǎn)移圖:Q2Q1Q0Q2n+1Q1n+1Q0n+1Y00000100000010100010100010011011111010101110001001010

011100數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)

101111011110狀態(tài)方程為:Q2Q1n+1110010001=Q2Q1Q0+Q2Q0Q1J2=Q1Q0K2=Q1Q0=Q1Q0+Q1Q0J1=Q0K1=Q0=Q0J0=K0=1

n+1Q0n+1電路圖為:

模M=13的扭環(huán)計(jì)數(shù)器設(shè)計(jì)電路為:

五、思考題

1、用觸發(fā)器和TTLSSI邏輯門(mén)設(shè)計(jì)一個(gè)模8二進(jìn)制可逆計(jì)數(shù)器。狀態(tài)表為:MQ2Q1Q0Q2n+1Q1n+1Q0n+1T2T1T0CB100000101001010010100110101110002

數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)

110110111111011011010010001001000000電路設(shè)計(jì)為:

010110101010111001101010111000011001100101010101000110000000

2、用MSI時(shí)序邏輯器件構(gòu)成N進(jìn)制計(jì)數(shù)器的常用方法有幾種?它們各有何應(yīng)用特點(diǎn)?

答:1)反饋清0法

這種方法的基本思想是:計(jì)數(shù)器從全0狀態(tài)S0開(kāi)始計(jì)數(shù),計(jì)滿N個(gè)狀態(tài)后產(chǎn)生清0信號(hào),使計(jì)數(shù)器恢復(fù)到初態(tài)S0,然后重復(fù)上述過(guò)程。

2)反饋置數(shù)法

置數(shù)法和清0法不同,由于置數(shù)操作可以置入任意狀態(tài),因此計(jì)數(shù)器不一定從全0狀態(tài)S0開(kāi)始計(jì)數(shù)。它可以通過(guò)預(yù)置功能計(jì)數(shù)器從某個(gè)預(yù)置狀態(tài)Si開(kāi)始計(jì)數(shù),計(jì)滿N個(gè)狀態(tài)后產(chǎn)生置數(shù)信號(hào),使計(jì)數(shù)器以進(jìn)入預(yù)置狀態(tài)Si,然后重復(fù)上述過(guò)程。

六、實(shí)驗(yàn)結(jié)果分析、實(shí)驗(yàn)小結(jié)

通過(guò)本次實(shí)驗(yàn),對(duì)同步計(jì)數(shù)器的電路設(shè)計(jì)有了更深刻的了解與應(yīng)用,采用

3

數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)

TTLSSI邏輯門(mén),設(shè)計(jì)出對(duì)應(yīng)要求的電路,實(shí)現(xiàn)了二進(jìn)制計(jì)數(shù)器與模M=13的扭環(huán)計(jì)數(shù)器,對(duì)電路的設(shè)計(jì)也過(guò)程也有了進(jìn)一步的體會(huì)。在設(shè)計(jì)時(shí)應(yīng)該考慮電路的簡(jiǎn)單與復(fù)雜度,對(duì)簡(jiǎn)化的電路應(yīng)該先簡(jiǎn)化,這樣使用到的元器件也比較少,而且在實(shí)驗(yàn)電路的成功率也比較高,這樣對(duì)快速完成實(shí)驗(yàn)有很大幫助。在設(shè)計(jì)時(shí)還應(yīng)考慮實(shí)驗(yàn)室所具有的元器件,不能超出實(shí)驗(yàn)所提供的器件。在實(shí)際的應(yīng)用中,電路中的所有器件應(yīng)合理、經(jīng)濟(jì)、簡(jiǎn)單,這樣才可以設(shè)計(jì)出一個(gè)比較好的電路。

4

友情提示:本文中關(guān)于《數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)總結(jié)報(bào)告》給出的范例僅供您參考拓展思維使用,數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)總結(jié)報(bào)告:該篇文章建議您自主創(chuàng)作。

來(lái)源:網(wǎng)絡(luò)整理 免責(zé)聲明:本文僅限學(xué)習(xí)分享,如產(chǎn)生版權(quán)問(wèn)題,請(qǐng)聯(lián)系我們及時(shí)刪除。


數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)總結(jié)報(bào)告》由互聯(lián)網(wǎng)用戶整理提供,轉(zhuǎn)載分享請(qǐng)保留原作者信息,謝謝!
鏈接地址:http://www.seogis.com/gongwen/713143.html