毛片在线视频观看,一级日韩免费大片,在线网站黄色,澳门在线高清一级毛片

薈聚奇文、博采眾長(zhǎng)、見賢思齊
當(dāng)前位置:公文素材庫 > 公文素材 > 范文素材 > 簡(jiǎn)易數(shù)字鐘設(shè)計(jì) 數(shù)電實(shí)驗(yàn)考試

簡(jiǎn)易數(shù)字鐘設(shè)計(jì) 數(shù)電實(shí)驗(yàn)考試

網(wǎng)站:公文素材庫 | 時(shí)間:2019-05-29 15:22:55 | 移動(dòng)端:簡(jiǎn)易數(shù)字鐘設(shè)計(jì) 數(shù)電實(shí)驗(yàn)考試

簡(jiǎn)易數(shù)字鐘設(shè)計(jì) 數(shù)電實(shí)驗(yàn)考試

實(shí)驗(yàn)七數(shù)字鐘的設(shè)計(jì)(考試)

時(shí)間:17周周三下午1點(diǎn)開始~!

一、要求:設(shè)計(jì)一個(gè)有60進(jìn)制、24進(jìn)制(或12進(jìn)制)計(jì)數(shù)功能并通過譯碼器及七段數(shù)碼

管顯示的電路。

二、功能要求:在連續(xù)脈沖的觸發(fā)下,秒、分為60進(jìn)制,時(shí)為24進(jìn)制(或12進(jìn)制)計(jì)數(shù),

并且要求有譯碼顯示。三、步驟:

1、設(shè)計(jì)出有6位計(jì)數(shù)、譯碼、顯示的電路圖。2、闡述設(shè)計(jì)電路的工作原理。

3、按設(shè)計(jì)電路的在實(shí)驗(yàn)箱上構(gòu)成實(shí)物。

4、進(jìn)行功能測(cè)試,驗(yàn)證其正確性,做結(jié)論、總結(jié)。四、設(shè)備及器件

數(shù)字電路實(shí)驗(yàn)箱、2-5-10進(jìn)制計(jì)數(shù)器、譯碼器、七段數(shù)碼管等。

74LS90引腳圖及引腳功能

74LS90計(jì)數(shù)器是一種中規(guī)模二一五進(jìn)制計(jì)數(shù)器,管腳引線如圖3.6-1,功能表如表3.6-1所示。

表3.6-17490功能表

復(fù)位輸入R1R2S1S2HHL×HH×L××HHXL×LL×L×L××L×LL×QDQCQBQALLLLLLLLHLLH計(jì)數(shù)計(jì)數(shù)計(jì)數(shù)計(jì)數(shù)輸出A.將輸出QA與輸入B相接,構(gòu)成8421BCD碼計(jì)數(shù)器;B.將輸出QD與輸入A相接,構(gòu)成5421BCD碼計(jì)數(shù)器;C.表中H為高電平、L為低電平、×為不定狀態(tài)。

74LS90邏輯電路圖如圖3.6-1所示,它由四個(gè)主從JK觸發(fā)器和一些附加門電路組成,整個(gè)電路可分兩部分,其中FA觸發(fā)器構(gòu)成一位二進(jìn)制計(jì)數(shù)器;FD、FC、FB構(gòu)成異步五進(jìn)制計(jì)數(shù)器,在74LS90計(jì)數(shù)器電路中,設(shè)有專用置“0”端R1、R2和置位(置“9”)端S1、S2。

74LS90具有如下的五種基本工作方式:

(1)五分頻:即由FD、FC、和FB組成的異步五進(jìn)制計(jì)數(shù)器工作方式。(2)十分頻(8421碼):將QA與CK2聯(lián)接,可構(gòu)成8421碼十分頻電路。

(3)六分頻:在十分頻(8421碼)的基礎(chǔ)上,將QB端接R1,QC端接R2。其計(jì)數(shù)順序?yàn)?00~101,當(dāng)?shù)诹鶄(gè)脈沖作用后,出現(xiàn)狀態(tài)QCQBQA=110,利用QBQC=11反饋到R1和R2的方式使電路置“0”。

(4)九分頻:QA→R1、QD→R2,構(gòu)成原理同六分頻。

(5)十分頻(5421碼):將五進(jìn)制計(jì)數(shù)器的輸出端QD接二進(jìn)制計(jì)數(shù)器的脈沖輸入端CK1,即可構(gòu)成5421碼十分頻工作方式。

此外,據(jù)功能表可知,構(gòu)成上述五種工作方式時(shí),S1、S2端最少應(yīng)有一端接地;構(gòu)成五分頻和十分頻時(shí),R1、R2端亦必須有一端接地。

實(shí)驗(yàn)電路圖:

擴(kuò)展閱讀:數(shù)電實(shí)驗(yàn) 數(shù)字鐘

數(shù)字電路與邏輯設(shè)計(jì)綜合實(shí)驗(yàn)題目數(shù)字鐘0213106班代聞19號(hào)

數(shù)字鐘

簡(jiǎn)介:

這是一個(gè)以EPM7128SLC84-15為軟件載體,數(shù)碼管作顯示器件,蜂鳴器作提示器件,擁有4個(gè)按鍵的電子鐘。它能夠正常計(jì)時(shí),支持12小時(shí)和24小時(shí)兩種計(jì)時(shí)方式,同時(shí)允許用戶手動(dòng)調(diào)時(shí)和設(shè)置整點(diǎn)報(bào)時(shí)。

在正常計(jì)時(shí)狀態(tài)下,用戶可以選擇12或24小時(shí)的計(jì)時(shí)方式,也可以設(shè)置或取消整點(diǎn)報(bào)時(shí)的功能(蜂鳴器作整點(diǎn)報(bào)時(shí)的提示設(shè)備)。同時(shí),數(shù)碼管會(huì)有相應(yīng)的顯示來指示當(dāng)前電子鐘的設(shè)置。

當(dāng)用戶通過按鍵進(jìn)入校時(shí)狀態(tài)時(shí),閃爍的一位數(shù)便是當(dāng)前調(diào)節(jié)的數(shù);用戶可以通過按鍵選擇要調(diào)的位,并對(duì)選擇位的數(shù)字進(jìn)行修改。(具體按鍵的功能說明請(qǐng)查閱軟件編寫部分三、2)

該電子鐘的軟件部分用VHDL編寫,編譯環(huán)境采用的是MAX+plusII.主要分為分頻、按鍵防抖動(dòng)、模式控制、計(jì)時(shí)校時(shí)、顯示輸出五個(gè)模塊。(具體設(shè)計(jì)見軟件編寫部分四)

由于時(shí)間有限、作者才疏,紕漏在所難免,敬請(qǐng)老師指正。

關(guān)鍵字:

顯示模塊的刷新率:=顯示模塊的輸入時(shí)鐘頻率/數(shù)碼管的個(gè)數(shù);防抖動(dòng)模塊的基準(zhǔn)頻率:

按鍵操作會(huì)產(chǎn)生上升沿,只有當(dāng)兩個(gè)上升沿發(fā)生在不同的基準(zhǔn)周期時(shí)才被看作是兩次按鍵;正常計(jì)時(shí)的基準(zhǔn)頻率:1Hz

時(shí)鐘狀態(tài):即mode,分為正常計(jì)時(shí)(mode=0)和校時(shí)(mode=1)兩個(gè)狀態(tài);12/24hour:即tm,tm=1為12小時(shí)制;tm=0為24小時(shí)制;選擇位:在校時(shí)操作時(shí),用戶操作的當(dāng)前位,可以是時(shí)分秒的低位或高位,從硬件顯示上看,就是在校時(shí)狀態(tài)下,閃爍的那一位。

硬件支持部分

硬件EPM7128SLC84-15面包板數(shù)碼管蜂鳴器按鍵電阻數(shù)量118144

軟件設(shè)計(jì)部分

數(shù)字電路與邏輯設(shè)計(jì)綜合實(shí)驗(yàn)題目數(shù)字鐘0213106班代聞19號(hào)

一、設(shè)計(jì)要求

設(shè)計(jì)并制作一臺(tái)能顯示時(shí)、分、秒的數(shù)字鐘。1、可手動(dòng)校時(shí),能分別進(jìn)行時(shí)、分的校正;2、12小時(shí)(含上下午顯示)、24小時(shí)計(jì)時(shí)制可手動(dòng)選擇;3、選做:整點(diǎn)報(bào)時(shí)。

4、選做:鬧鈴功能,當(dāng)計(jì)時(shí)計(jì)到預(yù)定時(shí)間時(shí),蜂鳴器發(fā)出鬧鈴信號(hào),鬧鈴時(shí)間為1秒,可提前終止鬧鈴。

5、選做:自擬其它功能。二、程序編寫方案比較與選擇

方案一:

將所有功能設(shè)計(jì)好,程序結(jié)構(gòu)制定完備后,將代碼寫入一個(gè)或幾個(gè)文件里。

出發(fā)點(diǎn):數(shù)字鐘不是非常大的程序,該方案增大了軟件的集成度與專用性,減少了處理過程中的中轉(zhuǎn)信號(hào)或變量所占用的空間;方案二:

將程序模塊化,各模塊提供相應(yīng)的接口,一個(gè)模塊一個(gè)文件。

出發(fā)點(diǎn):1)考慮到EPM7128SLC84-15的空間問題,有些功能可能會(huì)因其容量的限制而被撤銷,如果將代碼一次性寫在一個(gè)文件里,在刪除某些功能時(shí),必將會(huì)牽扯到一些共用信號(hào)或變量的賦值問題。

2)模塊化的程序便于調(diào)試

比較:方案一適合于程序設(shè)計(jì)完成后,(使用專用芯片)投入批量生產(chǎn)前的集成階段;方案二更適合于開發(fā)、編寫階段;故選擇方案二。三、總體設(shè)計(jì)

1.總體思路

1)軟件功能設(shè)計(jì)

1)實(shí)現(xiàn)基本功能正常計(jì)時(shí),手動(dòng)校時(shí)(能分別進(jìn)行時(shí)、分的校正)2)實(shí)現(xiàn)基本附加功能12/24小時(shí)制的手動(dòng)選擇,采用12小時(shí)制時(shí)有上下

午標(biāo)記;

3)根據(jù)資源占用狀況選擇實(shí)現(xiàn)選擇功能整點(diǎn)報(bào)時(shí),鬧鈴等2)對(duì)外設(shè)的說明:

1)8個(gè)數(shù)碼管

左一指示整點(diǎn)報(bào)時(shí)是否設(shè)置,若已設(shè)置則中間的一橫會(huì)亮,否則不亮;左二指示12小時(shí)制下的上下午,以字母A、P表示剩下的六個(gè)從左向右依次為時(shí)分秒的高低位2)4個(gè)按鍵

Key1:模式選擇按鍵,使時(shí)鐘狀態(tài)在正常計(jì)時(shí)和校時(shí)狀態(tài)之間變換;Key2:功能1:校時(shí)狀態(tài)下,選擇用戶想要操作的位;功能2:正常計(jì)時(shí)狀態(tài)下,設(shè)置或取消整點(diǎn)報(bào)時(shí)共能;Key3:校時(shí)狀態(tài)下,按一次給當(dāng)前選擇位的數(shù)字加一;Key4:在正常計(jì)時(shí)狀態(tài)下,選擇12/24小時(shí)制;3)一個(gè)蜂鳴器

在整點(diǎn)報(bào)時(shí)功能設(shè)置的狀態(tài)下,在整點(diǎn)時(shí)刻鳴叫一秒。

3)程序結(jié)構(gòu)設(shè)計(jì)

數(shù)字電路與邏輯設(shè)計(jì)綜合實(shí)驗(yàn)題目數(shù)字鐘0213106班代聞19號(hào)

Clk分頻模塊Clk1Clk2Clk0按鍵輸入防抖動(dòng)模塊脈沖時(shí)間處理模塊顯示待顯示內(nèi)容模塊顯示輸出

2.細(xì)化模塊

1)總輸入輸出:

Input:keyx(x=1~4)功能在上一小節(jié)中已說明

output:時(shí)分復(fù)用的選擇信號(hào)SEL[7..0]:選擇對(duì)哪個(gè)數(shù)碼管進(jìn)行控制

段選擇信號(hào)F[7..0]控制某數(shù)碼管的那些段顯亮。

2)分頻模塊細(xì)化:三個(gè)模塊(fenpinx)分別產(chǎn)生ck0,ck1,ck2,用途如上;3)防抖動(dòng)模塊細(xì)化:在每個(gè)按鍵后加一個(gè)防抖動(dòng)模塊(fangdoudong)(一共4個(gè));4)時(shí)間處理模塊細(xì)化:模式控制(modectrl)和計(jì)時(shí)校時(shí)(clock_mode0);5)顯示模塊:提供相應(yīng)借口,輸出塊選擇、段選擇信號(hào)。

四、

具體模塊設(shè)計(jì)

1.分頻模塊:(EPM7128SLC84-15的時(shí)鐘頻率為1Mhz)

數(shù)字電路與邏輯設(shè)計(jì)綜合實(shí)驗(yàn)題目數(shù)字鐘0213106班代聞19號(hào)

FENPIN0:將clk(1MHz)分頻得到ck0(1kHz),用作顯示模塊的刷新率;FENPIN1:將ck0(1kHz)分頻得到ck1(20Hz),用作防抖動(dòng)模塊的基準(zhǔn)頻率;FENPIN2:將ck1(20Hz)分頻得到ck2(1Hz),用作正常計(jì)時(shí)的基準(zhǔn)頻率;Input:待分頻信號(hào)output:分頻后的信號(hào)(fi>fo)

基本原理:通過對(duì)輸入信號(hào)上升沿個(gè)數(shù)的計(jì)數(shù),周期性地翻轉(zhuǎn)輸出信號(hào),從而實(shí)現(xiàn)對(duì)輸入信號(hào)的分頻。程序代碼如下:

FENPIN0libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;--必要的庫文件

entityfenpin0is

port(clk:instd_logic;--輸入cpo:outstd_logic);--輸出端口end;

architectureaoffenpin0is

signalcp:std_logic;--針對(duì)輸出的信號(hào)begin

process(clk)

variablei1:integerrange0to50;

variablei2:integerrange0to10;--i1max*i2max來計(jì)算多少個(gè)原周期就是半個(gè)新周期begin

if(clk"eventandclk="1")theni1:=i1+1;if(i1=50)theni1:=0;i2:=i2+1;if(i2=10)theni2:=0;cp數(shù)字電路與邏輯設(shè)計(jì)綜合實(shí)驗(yàn)題目數(shù)字鐘0213106班代聞19號(hào)

port(clk:instd_logic;--待分頻的輸入cpo:outstd_logic);--輸出端口end;

architectureaoffenpin1is

signalcp:std_logic;--針對(duì)輸出的信號(hào)begin

process(clk)

variablei:integerrange0to24;begin

if(clk"eventandclk="1")thenif(i=24)theni:=0;

cp數(shù)字電路與邏輯設(shè)計(jì)綜合實(shí)驗(yàn)題目數(shù)字鐘0213106班代聞19號(hào)

endif;

endprocess;cpo數(shù)字電路與邏輯設(shè)計(jì)綜合實(shí)驗(yàn)題目數(shù)字鐘0213106班代聞19號(hào)

Input:kx:按鍵x產(chǎn)生的脈沖;

Output:mode-輸出對(duì)時(shí)鐘狀態(tài)(計(jì)時(shí)or校時(shí))的選擇信號(hào)0為計(jì)時(shí),1為校時(shí)tm-輸出選擇12/24制式的信號(hào);0為24hour;1為12hourock-輸出選擇整點(diǎn)報(bào)時(shí)的選擇信號(hào);0-無整點(diǎn)報(bào)時(shí);1-有整點(diǎn)報(bào)時(shí)程序代碼如下:libraryieee;

useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;

useieee.std_logic_arith.all;--必要的庫文件

entitymodectrlis

port(k1:instd_logic;--按鍵1產(chǎn)生的脈沖輸入k4:instd_logic;--按鍵4產(chǎn)生的脈沖輸入k2:instd_logic;--按鍵2產(chǎn)生的脈沖輸入k1out,k4out:outintegerrange0to1;

--k1out控制計(jì)時(shí)校時(shí)的模式選擇;k4out控制12/24hour制式選擇ock:outstd_logic--正點(diǎn)報(bào)時(shí)選擇);

endmodectrl;

architectureaofmodectrlis

signalk1o,k4o:integerrange0to1;--針對(duì)k1out,k4out的信號(hào)signalko:std_logic:="0";--針對(duì)ock的信號(hào)begin

p1:--k1按一次k1out在0,1之間變一次process(k1)begin

if(k1"eventandk1="1")thenk1o數(shù)字電路與邏輯設(shè)計(jì)綜合實(shí)驗(yàn)題目數(shù)字鐘0213106班代聞19號(hào)

process(k4)begin

if(k4"eventandk4="1")thencasek4oiswhen0=>k4ok4o數(shù)字電路與邏輯設(shè)計(jì)綜合實(shí)驗(yàn)題目數(shù)字鐘0213106班代聞19號(hào)

所以在校時(shí)狀態(tài)下,將p1的時(shí)鐘頻率改為1kHz,這個(gè)頻率遠(yuǎn)遠(yuǎn)高于手動(dòng)按鍵的極限頻率,所以可以及時(shí)將改動(dòng)的時(shí)間信號(hào)傳遞給顯示模塊,使得顯示的數(shù)字連續(xù)變動(dòng)。并且1kHz是顯示模塊的刷新率控制信號(hào),直接拿來使用避免了在此分頻,減少了模塊的資源占用量。2)對(duì)12/24小時(shí)制的說明

12小時(shí)制:AM00:00:00---AM11:59:59;PM12:00:00---PM11:59:5924小時(shí)制:00:00:00---23:59:59注:12小時(shí)制的一個(gè)細(xì)節(jié):晚上的12點(diǎn)稱0點(diǎn),且為am;中午的12點(diǎn)是12點(diǎn)pm。

程序代碼如下:libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;--調(diào)用需要的庫文件

entityclock_mode0is--計(jì)時(shí)與校時(shí)模塊port(ck,ck1k:instd_logic;

--ck:f=1Hz用于正常計(jì)時(shí)ck1k:f=1kHz高于手動(dòng)按鍵的極限頻率,使得時(shí)間在進(jìn)行校準(zhǔn)時(shí)--變化連續(xù)

k2,k3:instd_logic;

--k2:校時(shí)選位按鍵,可依次選擇秒、分、時(shí)的高低位,k3:在校時(shí)進(jìn)程中對(duì)當(dāng)前選擇位加1mode:inintegerrange0to1;--時(shí)鐘處于什么狀態(tài)0:計(jì)時(shí);1:校時(shí)

tm:inintegerrange0to1;--計(jì)時(shí)采取的是哪種方式1:12hour;0:24hourock:instd_logic;--整點(diǎn)報(bào)時(shí)是否設(shè)置1-設(shè)置狀態(tài)0-取消狀態(tài)

hourh:outintegerrange0to2;--小時(shí)高位hourl:outintegerrange0to9;--小時(shí)低位minh:outintegerrange0to5;--分鐘高位minl:outintegerrange0to9;--分鐘低位sech:outintegerrange0to5;--秒高位secl:outintegerrange0to9;--秒低位

bee:outstd_logic;--蜂鳴器輸出:1-鳴叫;0-不鳴叫

ampm:outstd_logic;--上下午指示輸出:1-下午;0-上午--下面的輸出在本模塊中為校時(shí)設(shè)計(jì)shan:outstd_logic;

--(通知顯示模塊)選擇位是否閃爍:不閃爍狀態(tài)-賦值為"1";閃爍狀態(tài)-賦值為ck;position:outintegerrange0to5--(通知顯示模塊)現(xiàn)在對(duì)哪一位進(jìn)行校準(zhǔn));

--shan使得時(shí)鐘處于校時(shí)狀態(tài)時(shí)選擇位閃爍end;

architectureaofclock_mode0issignalhh1,hh2:integerrange0to2;signalhl1,hl2:integerrange0to9;

數(shù)字電路與邏輯設(shè)計(jì)綜合實(shí)驗(yàn)題目數(shù)字鐘0213106班代聞19號(hào)

signalmh1,mh2:integerrange0to5;signalml1,ml2:integerrange0to9;signalsh1,sh2:integerrange0to5;signalsl1,sl2:integerrange0to9;--對(duì)上面12個(gè)信號(hào)的說明

--hh:時(shí)高位hl:時(shí)低位mh:分高位ml:分低位sh:秒高位sl:秒低位--后綴:1-該信號(hào)為計(jì)時(shí)進(jìn)程設(shè)計(jì)2-該信號(hào)為校時(shí)進(jìn)程設(shè)計(jì)signalclk:std_logic;--計(jì)時(shí)進(jìn)程的時(shí)鐘signalap:std_logic;--上下午指示信號(hào)

signalpos:integerrange0to5;--選擇位指示信號(hào)

begin

--對(duì)以下5個(gè)進(jìn)程的說明:--p0o:對(duì)p1的時(shí)鐘clk賦值

--p0:判斷當(dāng)前時(shí)鐘狀態(tài)并對(duì)閃爍指示輸出shan賦值

--p1:整個(gè)模塊(clock_mode0)的核心;既是正常計(jì)時(shí)進(jìn)程,又負(fù)責(zé)模塊輸出(正確的信號(hào)to--輸出端口)

--p2:在校時(shí)狀態(tài)下,判斷選位信號(hào)k2是否有上升沿以對(duì)選位輸出position作修改--p3:校時(shí)進(jìn)程p0o:processbegin

casemodeis

when0=>clkclk數(shù)字電路與邏輯設(shè)計(jì)綜合實(shí)驗(yàn)題目數(shù)字鐘0213106班代聞19號(hào)

when1=>

hh1數(shù)字電路與邏輯設(shè)計(jì)綜合實(shí)驗(yàn)題目數(shù)字鐘0213106班代聞19號(hào)

when3=>hourh數(shù)字電路與邏輯設(shè)計(jì)綜合實(shí)驗(yàn)題目數(shù)字鐘0213106班代聞19號(hào)

minl數(shù)字電路與邏輯設(shè)計(jì)綜合實(shí)驗(yàn)題目數(shù)字鐘0213106班代聞19號(hào)

if(ml2=9)thenml2數(shù)字電路與邏輯設(shè)計(jì)綜合實(shí)驗(yàn)題目數(shù)字鐘0213106班代聞19號(hào)

elsehh2casehh2iswhen0=>hh2hh2null;endcase;endcase;--結(jié)束對(duì)時(shí)低位的判斷endcase;--結(jié)束對(duì)選擇位的判斷endif;--endthecontrolof"mode=1"endif;--endthecontrolofk3endprocess;enda;

5.顯示模塊

Input:ck0:刷新率控制信號(hào)(刷新率=fck0/數(shù)碼管的個(gè)數(shù)=1000/8=125Hz穩(wěn)定)num0num5對(duì)應(yīng)秒低位、秒高位、分低位、分高位、時(shí)低位、時(shí)高位信號(hào);pos[2..0]為校時(shí)的選擇位輸入tm為12(1)/24(0)小時(shí)制選擇輸入;shan為選擇位閃爍控制信號(hào);ock為整點(diǎn)報(bào)時(shí)設(shè)置與(1)否(0)輸入;ampm:上(0)下(1)午狀態(tài)輸入;output:F為數(shù)碼管段選擇信號(hào);SEL為時(shí)分復(fù)用的選擇信號(hào)。程序代碼如下:libraryieee;

useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;

useieee.std_logic_arith.all;--必要的庫文件

entitydisplay1isport(clk:inbit;

數(shù)字電路與邏輯設(shè)計(jì)綜合實(shí)驗(yàn)題目數(shù)字鐘0213106班代聞19號(hào)

num5:inintegerrange0to2;--hourhighnum4:inintegerrange0to9;--hourlownum3:inintegerrange0to5;--minutehignnum2:inintegerrange0to9;--minutelownum1:inintegerrange0to5;--secondhighnum0:inintegerrange0to9;--secondlowpos:inintegerrange0to5;--校時(shí)狀態(tài)下的選擇位tm:inintegerrange0to1;--12(1)or24(0)制式指示shan:instd_logic;--閃爍指示ock:instd_logic;--正點(diǎn)報(bào)時(shí)是(1)否(0)設(shè)置指示ampm:instd_logic;--上(0)下(1)午指示f:outstd_logic_vector(7downto0);--控制一個(gè)數(shù)碼管哪段亮的段選擇輸出sel:outstd_logic_vector(7downto0)--時(shí)分復(fù)用的選擇信號(hào));end;

architecturebofdisplay1is

functionnumtodis(num:inintegerrange0to9)returnstd_logic_vectoris--譯碼函數(shù)variablefig:std_logic_vector(7downto0);begin

casenumis

WHEN0=>fig:="01111110";WHEN1=>fig:="00011000";WHEN2=>fig:="01101101";WHEN3=>fig:="00111101";WHEN4=>fig:="00011011";WHEN5=>fig:="00110111";WHEN6=>fig:="01110111";WHEN7=>fig:="00011100";WHEN8=>fig:="01111111";WHEN9=>fig:="00111111";endcase;--完成0-9的譯碼return(fig);endnumtodis;

signali:integerrange0to7;begin

p1:--以一個(gè)變量i來指示現(xiàn)在對(duì)那個(gè)數(shù)碼管進(jìn)行操作process(clk)begin

if(clk"eventandclk="1")theni數(shù)字電路與邏輯設(shè)計(jì)綜合實(shí)驗(yàn)題目數(shù)字鐘0213106班代聞19號(hào)

p2:process

variabledis:std_logic_vector(7downto0);--針對(duì)段選擇輸出的變量variablenum:integerrange0to9;--用來提取時(shí)分秒輸入的中間變量begin

CASEiIS

WHEN0=>selselselselselselselseldis:=numtodis(1);when2=>dis:=numtodis(2);when3=>dis:=numtodis(3);when4=>dis:=numtodis(4);when5=>dis:=numtodis(5);when6=>dis:=numtodis(6);when7=>dis:=numtodis(7);when8=>dis:=numtodis(8);when9=>dis:=numtodis(9);

數(shù)字電路與邏輯設(shè)計(jì)綜合實(shí)驗(yàn)題目數(shù)字鐘0213106班代聞19號(hào)

endcase;endif;

if(shan="0")thenif(pos=i)thendis:="00000000";endif;endif;

--在校時(shí)狀態(tài)下shan是1Hz的方波

--對(duì)于選擇位指示的數(shù)碼管shan為1時(shí)亮,shan為0則不亮

if(i=2ori=4)thendis(7):="1";

endif;--只有用來顯示分低位和時(shí)低位的數(shù)碼管的小數(shù)點(diǎn)要亮,用來區(qū)分時(shí)分秒

f數(shù)字電路與邏輯設(shè)計(jì)綜合實(shí)驗(yàn)題目數(shù)字鐘0213106班代聞19號(hào)

感謝老師孜孜不倦的教誨!

友情提示:本文中關(guān)于《簡(jiǎn)易數(shù)字鐘設(shè)計(jì) 數(shù)電實(shí)驗(yàn)考試》給出的范例僅供您參考拓展思維使用,簡(jiǎn)易數(shù)字鐘設(shè)計(jì) 數(shù)電實(shí)驗(yàn)考試:該篇文章建議您自主創(chuàng)作。

來源:網(wǎng)絡(luò)整理 免責(zé)聲明:本文僅限學(xué)習(xí)分享,如產(chǎn)生版權(quán)問題,請(qǐng)聯(lián)系我們及時(shí)刪除。


簡(jiǎn)易數(shù)字鐘設(shè)計(jì) 數(shù)電實(shí)驗(yàn)考試》由互聯(lián)網(wǎng)用戶整理提供,轉(zhuǎn)載分享請(qǐng)保留原作者信息,謝謝!
鏈接地址:http://www.seogis.com/gongwen/713145.html