毛片在线视频观看,一级日韩免费大片,在线网站黄色,澳门在线高清一级毛片

薈聚奇文、博采眾長(zhǎng)、見賢思齊
當(dāng)前位置:公文素材庫(kù) > 報(bào)告體會(huì) > 心得體會(huì) > EDA課程心得

EDA課程心得

網(wǎng)站:公文素材庫(kù) | 時(shí)間:2019-05-29 19:08:40 | 移動(dòng)端:EDA課程心得

EDA課程心得

EDA課程學(xué)習(xí)心得

這學(xué)期的后半期,我們開了EDA技術(shù)這門課程。EDA的中文解釋是電子設(shè)計(jì)自動(dòng)化,這門課程主要是用于對(duì)現(xiàn)代高新電子產(chǎn)品的設(shè)計(jì),EDA在硬件方面融合了大規(guī)模集成電路技術(shù),是一款綜合性很強(qiáng)的工具。

這門課程的學(xué)習(xí)在教學(xué)中應(yīng)該以實(shí)踐為主,我們每周有兩節(jié)課,一節(jié)是理論課學(xué)習(xí),一節(jié)是實(shí)踐課。剛開始上理論課程的時(shí)候,主要是對(duì)該軟件的使用做介紹,而在實(shí)踐課程上,我們應(yīng)該對(duì)軟件進(jìn)行運(yùn)用,但是,有很多的同學(xué)卻沒有干與課程相關(guān)的事,只有一部分的同學(xué)在練習(xí)。開始的時(shí)候,對(duì)軟件很陌生,都是幾個(gè)同學(xué)在一起研究,并且詢問(wèn)老師,才慢慢的掌握了使用方法。在后面的理論學(xué)習(xí)中,老師主要是講解編程的一些語(yǔ)法,并且只講了一些常用的,像信號(hào)量,變量,還有PROCESS語(yǔ)句等,這些是編程中常用的一些知識(shí)。在實(shí)踐課上,主要是以實(shí)驗(yàn)指導(dǎo)書為主,根據(jù)指導(dǎo)書上的內(nèi)容進(jìn)行編程,畫圖仿真來(lái)對(duì)EDA技術(shù)的運(yùn)用有更深入的理解。在每周一節(jié)理論課的學(xué)習(xí)情況下,很多的時(shí)間都是很珍貴的,學(xué)習(xí)理論的時(shí)間就那么一點(diǎn),那么,肯定就不可能學(xué)習(xí)的很全面,老師主要是講方法,更多的是要我們自己努力。這本教材還很不錯(cuò),講解的很詳細(xì),讓初學(xué)者也能理解。然后實(shí)踐課程是可以在課后也能練習(xí),課上發(fā)現(xiàn)問(wèn)題就能及時(shí)的詢問(wèn)老師,但是,課后就只能詢問(wèn)同學(xué),或者是將問(wèn)題留到課堂上再問(wèn)老師。

這門課程學(xué)完最大的感觸就是學(xué)習(xí)的時(shí)間太短了,這門課程聽老師說(shuō)對(duì)我們的以后工作是有很大的幫助的,但是我們卻只學(xué)習(xí)了半個(gè)學(xué)期,只用了32個(gè)課時(shí)就結(jié)束了,這肯定是不夠的。EDA技術(shù)可以完成各種自動(dòng)設(shè)計(jì)過(guò)程,是目前最為矚目的一項(xiàng)技術(shù),它有強(qiáng)大的邏輯設(shè)計(jì)仿真測(cè)試技術(shù)。它的仿真測(cè)試技術(shù)只要通過(guò)計(jì)算機(jī),就能對(duì)所設(shè)計(jì)的電子系統(tǒng)從各種不同層次的系統(tǒng)性能特點(diǎn)完成一系列準(zhǔn)確的測(cè)試與仿真操作,在完成實(shí)際系統(tǒng)的安裝后,還能對(duì)系統(tǒng)上的目標(biāo)器件進(jìn)行所謂的邊界掃描測(cè)試。這一切都極大的提高了大規(guī)模的系統(tǒng)電子設(shè)計(jì)的自動(dòng)化程度,F(xiàn)在的很多設(shè)計(jì)工作都需要先進(jìn)行計(jì)算機(jī)仿真,如果沒有錯(cuò)誤,在運(yùn)用到實(shí)際的硬件中,這不僅能提高設(shè)計(jì)速度,還能減少因?yàn)樵O(shè)計(jì)失誤而造成的原料浪費(fèi)。學(xué)好一門仿真軟件對(duì)于我們本科學(xué)生是必不可少的,因?yàn)橐院螽厴I(yè)了如果從事設(shè)計(jì)方向的工作,那必然要求我們有這樣的一門技術(shù)。

以下是我在這門課程的學(xué)習(xí)過(guò)程中總結(jié)的幾點(diǎn)建議,希望老師能夠耐心的看完:

(1)、根據(jù)學(xué)生的層次,設(shè)計(jì)一種適合學(xué)生的教學(xué)方案。像我們班這樣的基礎(chǔ)不太好的班級(jí),可能采用重實(shí)踐的方法更合適一些。上課講很多的理論知識(shí),都不及在機(jī)房自己練習(xí)來(lái)的快。而且,老師有時(shí)候在課堂上也不知道該給我們講些什么我們才會(huì)更好的接收,這樣的話,在我們自己動(dòng)手實(shí)踐的過(guò)程中我們發(fā)現(xiàn)了問(wèn)題,經(jīng)過(guò)詢問(wèn)老師和與同學(xué)一起研究,這樣,就可以克服難題,而且,經(jīng)過(guò)這樣的經(jīng)歷,我們對(duì)于該問(wèn)題也會(huì)有很深的印象,在以后的應(yīng)用中出現(xiàn)類似的問(wèn)題我們也會(huì)更快的找到方法解決。

(2)、在實(shí)踐課上要嚴(yán)抓課堂秩序。在實(shí)踐課的時(shí)候,很多同學(xué)都不做與課程相關(guān)的事,要么翻紙盤,要么幾個(gè)一起玩游戲,而真正在做實(shí)驗(yàn)的同學(xué)就只有那么少許的人。很多人都是在一開始就養(yǎng)成這樣的壞習(xí)慣的,如果在剛開始就嚴(yán)抓課堂秩序,那么,很多人可能就會(huì)按照要求循規(guī)蹈矩了。在實(shí)踐課上是很重要的學(xué)習(xí)機(jī)會(huì),本來(lái)課程安排的時(shí)間就很少,不好好的利用,那么肯定是一項(xiàng)嚴(yán)重的損失。

(3)、上理論課的時(shí)候盡量的多的將一些知識(shí)點(diǎn)講詳細(xì)一些。我們學(xué)習(xí)的都是很基礎(chǔ)的知識(shí),不要求深入,但是應(yīng)該盡量的將基礎(chǔ)的東西都掌握了。在這門課程中,我們只學(xué)習(xí)了幾章較為基礎(chǔ)和重要的內(nèi)容,只要掌握了這幾章也就能進(jìn)行簡(jiǎn)單的編程,在這樣的情況下,我們就更是需要老師幫助我們,引導(dǎo)我們,理清這些知識(shí)點(diǎn),從而掌握它們。

以上是我在這門課程的學(xué)習(xí)中的一些感觸和心得,雖然這門課程的學(xué)習(xí)結(jié)束了,但是,有一些學(xué)習(xí)方法是同樣可以運(yùn)用到其他的課程學(xué)習(xí)中,在以后的學(xué)習(xí)中,我們還應(yīng)該堅(jiān)持,努力,將學(xué)習(xí)進(jìn)行到底!

擴(kuò)展閱讀:EDA課程設(shè)計(jì)心得體會(huì)

EDA課程設(shè)計(jì)心得體會(huì)

這次EDA課程設(shè)計(jì)歷時(shí)兩個(gè)星期,在整整兩個(gè)星期的日子里,可以說(shuō)是苦多于甜,但是可以學(xué)的到很多很多的東西,同時(shí)不僅可以鞏固以前所學(xué)過(guò)的知識(shí),而且學(xué)到了很多在書本上所沒有學(xué)到過(guò)的知識(shí)。通過(guò)這次設(shè)計(jì),進(jìn)一步加深了對(duì)EDA的了解,讓我對(duì)它有了更加濃厚的興趣。特別是當(dāng)每一個(gè)子模塊編寫調(diào)試成功時(shí),心里特別的開心。但是在編寫頂層文件的程序時(shí),遇到了不少問(wèn)題,特別是各元件之間的連接,以及信號(hào)的定義,總是有錯(cuò)誤,在細(xì)心的檢查下,終于找出了錯(cuò)誤和警告,排除困難后,程序編譯就通過(guò)了,心里終于舒了一口氣。在波形仿真時(shí),也遇到了一點(diǎn)困難,想要的結(jié)果不能在波形上得到正確的顯示:在設(shè)定輸入的時(shí)鐘信號(hào)后,數(shù)字秒表開始計(jì)數(shù),但是始終看不到秒和小時(shí)的循環(huán)計(jì)數(shù)。后來(lái),在數(shù)十次的調(diào)試之后,才發(fā)現(xiàn)是因?yàn)檩斎氲臅r(shí)鐘信號(hào)對(duì)于器件的延遲時(shí)間來(lái)說(shuō)太短了。經(jīng)過(guò)屢次調(diào)試,終于找到了比較合適的輸入數(shù)值:時(shí)鐘周期設(shè)置在15秒左右比較合適。另外,Endtime的值需要設(shè)置的長(zhǎng)一點(diǎn):500us左右,這樣就可以觀察到完整的仿真結(jié)果。

其次,在連接各個(gè)模塊的時(shí)候一定要注意各個(gè)輸入、輸出引腳的線寬,因?yàn)槊總(gè)線寬是不一樣的,只要讓各個(gè)線寬互相匹配,才能得出正確的結(jié)果,否則,出現(xiàn)任何一點(diǎn)小的誤差就會(huì)導(dǎo)致整個(gè)文件系統(tǒng)的編譯出現(xiàn)錯(cuò)誤提示,在器件的選擇上也有一定的技巧,只有選擇了合適當(dāng)前電路所適合的器件,編譯才能得到完滿成功。

通過(guò)這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來(lái),從理論中得出結(jié)論,才能真正為社會(huì)服務(wù),從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。在設(shè)計(jì)的過(guò)程中遇到問(wèn)題,可以說(shuō)得是困難重重,這畢竟第一次做的,難免會(huì)遇到過(guò)各種各樣的問(wèn)題,同時(shí)在設(shè)計(jì)的過(guò)程中發(fā)現(xiàn)了自己的不足之處,對(duì)以前所學(xué)過(guò)的知識(shí)理解得不夠深刻,掌握得不夠牢固。

總的來(lái)說(shuō),這次設(shè)計(jì)的數(shù)字秒表還是比較成功的,在設(shè)計(jì)中遇到了很多問(wèn)題,最后在老師的辛勤的指導(dǎo)下,終于游逆而解,有點(diǎn)小小的成就感,終于覺得平時(shí)所學(xué)的知識(shí)有了實(shí)用的價(jià)值,達(dá)到了理論與實(shí)際相結(jié)合的目的,不僅學(xué)到了不少知識(shí),而且鍛煉了自己的能力,使自己對(duì)以后的路有了更加清楚的認(rèn)識(shí),同時(shí),對(duì)未來(lái)有了更多的信心。最后,對(duì)給過(guò)我?guī)椭乃型瑢W(xué)和各位指導(dǎo)老師再次表示忠心的感謝!

PLC實(shí)訓(xùn)心得

和學(xué)別的學(xué)科一樣,在學(xué)完P(guān)LC理論課程后我們做了課程設(shè)計(jì),此次設(shè)計(jì)以分組的方式進(jìn)行,每組有一個(gè)題目。我們做的是一個(gè)由三個(gè)部分組成的澆灌系統(tǒng)。由于平時(shí)大家都是學(xué)理論,沒有過(guò)實(shí)際開發(fā)設(shè)計(jì)的經(jīng)驗(yàn),拿到的時(shí)候都不知道怎么做。但通過(guò)各方面的查資料并學(xué)習(xí)。我們基本學(xué)會(huì)了PLC設(shè)計(jì)的步聚和基本方法。分組工作的方式給了我與同學(xué)合作的機(jī)會(huì),提高了與人合作的意識(shí)與能力。通過(guò)這次設(shè)計(jì)實(shí)踐。我學(xué)會(huì)了PLC的基本編程方法,對(duì)PLC的工作原理和使用方法也有了更深刻的理解。在對(duì)理論的運(yùn)用中,提高了我們的工程素質(zhì),在沒有做實(shí)踐設(shè)計(jì)以前,我們對(duì)知道的撐握都是思想上的,對(duì)一些細(xì)節(jié)不加重視,當(dāng)我們把自己想出來(lái)的程序與到PLC中的時(shí)候,問(wèn)題出現(xiàn)了,不是不能運(yùn)行,就是運(yùn)行的結(jié)果和要求的結(jié)果不相符合。能過(guò)解決一個(gè)個(gè)在調(diào)試中出現(xiàn)的問(wèn)題,我們對(duì)PLC的理解得到加強(qiáng),看到了實(shí)踐與理論的差距。

通過(guò)合作,我們的合作意識(shí)得到加強(qiáng)。合作能力得到提高。上大學(xué)后,很多同學(xué)都沒有過(guò)深入的交流,在設(shè)計(jì)的過(guò)程中,我們用了分工與合作的方式,每個(gè)人互責(zé)一定的部分,同時(shí)在一定的階段共同討論,以解決分工中個(gè)人不能解決的問(wèn)題,在交流中大家積極發(fā)言,和提出意見,同時(shí)我們還向別的同學(xué)請(qǐng)教。在此過(guò)程中,每個(gè)人都想自己的方案得到實(shí)現(xiàn),積極向同學(xué)說(shuō)明自己的想法。能過(guò)比較選出最好的方案。在這過(guò)程也提高了我們的表過(guò)能力。在設(shè)計(jì)的過(guò)程中我們還得到了老師的幫助與意見。在學(xué)習(xí)的過(guò)程中,不是每一個(gè)問(wèn)題都能自己解決,向老師請(qǐng)教或向同學(xué)討論是一個(gè)很好的方法,不是有句話叫做思而不學(xué)者殆。做事要學(xué)思結(jié)合。通過(guò)本次設(shè)計(jì),讓我很好的鍛煉了理論聯(lián)系實(shí)際,與具體項(xiàng)目、課題相結(jié)合開發(fā)、設(shè)計(jì)產(chǎn)品的能力。既讓我們懂得了怎樣把理論應(yīng)用于實(shí)際,又讓我們懂得了在實(shí)踐中遇到的問(wèn)題怎樣用理論去解決。

在本次設(shè)計(jì)中,我們還需要大量的以前沒有學(xué)到過(guò)的知識(shí),于是圖書館和INTERNET成了我們很好的助手。在查閱資料的過(guò)程中,我們要判斷優(yōu)劣、取舍相關(guān)知識(shí),不知不覺中我們查閱資料的能力也得到了很好的鍛煉。我們學(xué)習(xí)的知識(shí)是有限的,在以后的工作中我們肯定會(huì)遇到許多未知的領(lǐng)域,這方面的能力便會(huì)使我們受益非淺。

在設(shè)計(jì)過(guò)程中,總是遇到這樣或那樣的問(wèn)題。有時(shí)發(fā)現(xiàn)一個(gè)問(wèn)題的時(shí)候,需要做大量的工作,花大量的時(shí)間才能解決。自然而然,我的耐心便在其中建立起來(lái)了。為以后的工作積累了經(jīng)驗(yàn),增強(qiáng)了信心

友情提示:本文中關(guān)于《EDA課程心得》給出的范例僅供您參考拓展思維使用,EDA課程心得:該篇文章建議您自主創(chuàng)作。

來(lái)源:網(wǎng)絡(luò)整理 免責(zé)聲明:本文僅限學(xué)習(xí)分享,如產(chǎn)生版權(quán)問(wèn)題,請(qǐng)聯(lián)系我們及時(shí)刪除。


EDA課程心得》由互聯(lián)網(wǎng)用戶整理提供,轉(zhuǎn)載分享請(qǐng)保留原作者信息,謝謝!
鏈接地址:http://www.seogis.com/gongwen/731491.html
相關(guān)文章