毛片在线视频观看,一级日韩免费大片,在线网站黄色,澳门在线高清一级毛片

薈聚奇文、博采眾長(zhǎng)、見賢思齊
當(dāng)前位置:公文素材庫 > 報(bào)告體會(huì) > 工作報(bào)告 > EDA數(shù)字鐘實(shí)驗(yàn)報(bào)告

EDA數(shù)字鐘實(shí)驗(yàn)報(bào)告

網(wǎng)站:公文素材庫 | 時(shí)間:2019-05-29 22:33:57 | 移動(dòng)端:EDA數(shù)字鐘實(shí)驗(yàn)報(bào)告

EDA數(shù)字鐘實(shí)驗(yàn)報(bào)告

EDA實(shí)現(xiàn)多功能數(shù)字鐘

實(shí)驗(yàn)報(bào)告

實(shí)驗(yàn)EDA實(shí)驗(yàn)數(shù)字鐘

一.實(shí)驗(yàn)任務(wù)

用FPGA器件和EDA技術(shù)實(shí)現(xiàn)多功能數(shù)字鐘的設(shè)計(jì)已知條件:1.MAX+PlusII軟件2..FPGA實(shí)驗(yàn)開發(fā)裝

基本功能:1.以數(shù)字形式顯示時(shí),分,秒的時(shí)間;2.小時(shí)計(jì)數(shù)器為24進(jìn)制;3.分,秒計(jì)數(shù)器為60進(jìn)制;

多功能數(shù)字電子鐘設(shè)計(jì):

輸入變量:時(shí)鐘CPS,直接清零RD;輸出變量:小時(shí)H[7..4]、H[3..0]為8421BCD碼輸出,其時(shí)鐘為CLK;分計(jì)時(shí)M[7..4]、M[3..0]為8421BCD碼輸出,其時(shí)鐘為CPM;秒計(jì)時(shí)S[7..4]、S[3..0]為8421BCD碼輸出,其時(shí)鐘為CLK;RD為清零信號(hào)等。

二.仿真與波形1.60進(jìn)制原理圖如下;

其仿真波形如下:

2.24進(jìn)制原理圖如下:

其仿真波形如下:

3.數(shù)字鐘的整個(gè)電路圖如下:

邏輯電路說明:由電路分析得知,多功能數(shù)字電子鐘最基本的計(jì)時(shí)電路在CLK(秒)時(shí)鐘作用下,電路輸出變量為H[7..0],M[7..0]及S[7..0],按8421BCD碼正常走時(shí),電路為異步時(shí)序邏輯電路4.數(shù)字電子鐘的仿真波形如下:

仿真波形分析及結(jié)論:

由仿真波形分析得知在CLK(秒)時(shí)鐘作用下,電路正常走時(shí)。分析過程完全符合多功能數(shù)字電子鐘最基本的計(jì)時(shí)功能,邏輯電路設(shè)計(jì)正確。三.感想:

這次的課程設(shè)計(jì)的內(nèi)容是《EDA多功能數(shù)字鐘》,這次課程設(shè)計(jì)驗(yàn)我花了兩個(gè)上午的時(shí)間。雖然我是順利的完成了任務(wù),但是在實(shí)驗(yàn)中我還是發(fā)現(xiàn)了自己存在的一些問題。

在課程設(shè)計(jì)中我經(jīng)常做完上一步就忘記了下一步該怎么做,總是一邊看老師的課件一邊做,這樣一來浪費(fèi)了不少時(shí)間,這是由于我對(duì)軟件的操作不熟練的緣故,因此我覺得我應(yīng)該在今后的日子里多練習(xí)一下這個(gè)MAX+PLUS軟件,做到在以后的學(xué)習(xí)及工作中能利用這個(gè)軟件快速的正確的完成任務(wù)。在實(shí)驗(yàn)中我還經(jīng)常出現(xiàn)掉步驟的現(xiàn)象,比如經(jīng)常忘記“指向當(dāng)前文件”,從而導(dǎo)致得到的結(jié)果是錯(cuò)誤的甚至根本就得不到結(jié)果,這全都是因?yàn)榇中拇笠庠斐傻。在今后的日子里我?huì)努力的去改掉這個(gè)毛病,從而高質(zhì)量的完成老師交給我的各項(xiàng)任務(wù)!

擴(kuò)展閱讀:EDA設(shè)計(jì)實(shí)驗(yàn)報(bào)告——數(shù)字鐘的設(shè)計(jì)

EDA設(shè)計(jì)實(shí)驗(yàn)報(bào)告數(shù)字鐘的設(shè)計(jì)

摘要

隨著現(xiàn)在社會(huì)的快速發(fā)展,人們都電子產(chǎn)品的要求越來越高,因而電子產(chǎn)品無論從制作上還是從銷售上都要求很高。要制作一個(gè)應(yīng)用性比較好的電子產(chǎn)品就離不開數(shù)字電路,大到超級(jí)計(jì)算機(jī)、小到袖珍計(jì)算器,很多電子設(shè)備都有數(shù)字電路。數(shù)字系統(tǒng)是一個(gè)能夠?qū)?shù)字信號(hào)進(jìn)行加工,傳遞,和存儲(chǔ)的實(shí)體,它由實(shí)現(xiàn)各種功能的數(shù)字邏輯電路相互連接而成。用來處理數(shù)字信號(hào)的電子線路稱為數(shù)字電路,數(shù)字集成電路的基本邏輯單元是邏輯門,一塊集成電路芯片所容納的邏輯門數(shù)量反映了芯片的集成度,集成度越高,單個(gè)芯片所實(shí)現(xiàn)的邏輯功能越強(qiáng)。數(shù)字電路在生活中應(yīng)用廣泛,而我所學(xué)習(xí)的專業(yè)為電子信息工程,對(duì)于數(shù)字電路的熟悉程度要更徹底,所以我選擇設(shè)計(jì)數(shù)字鐘電路。

在本次設(shè)計(jì)的課題中,其目的是得到一個(gè)計(jì)時(shí)準(zhǔn)確的數(shù)字時(shí)鐘。在數(shù)字鐘的制作過程中,要得到準(zhǔn)確的計(jì)時(shí),就必須對(duì)組成電路的每一部分的要求要高。整個(gè)電路是由振蕩器電路、分頻器電路、時(shí)間計(jì)數(shù)單元、譯碼驅(qū)動(dòng)電路、數(shù)碼管五個(gè)部分組成。整個(gè)電路的核心部分是振蕩電路,振蕩電路應(yīng)采取晶體振蕩,晶體振蕩器輸出頻率為32768HZ,在設(shè)計(jì)中我們采用CD4060來完成電路的振蕩和分頻,以便于得到1HZ的輸出頻率。在計(jì)數(shù)電路中采用了74LS161計(jì)數(shù),74LS161是直接清零的計(jì)數(shù)器,在電路中起計(jì)數(shù)和分頻的作用。為了能夠得到即準(zhǔn)確又清楚的輸出,電路采用了譯碼驅(qū)動(dòng)和數(shù)碼顯示,譯碼器為74LS247(BCD七段顯示譯碼器)。譯碼驅(qū)動(dòng)電路將計(jì)數(shù)器輸出的8421BCD碼轉(zhuǎn)換為數(shù)碼管需要的邏輯狀態(tài),并且為保證數(shù)碼管正常工作提供足夠的工作電流。整個(gè)電路的設(shè)計(jì)有嚴(yán)密的邏輯關(guān)系。

關(guān)鍵詞:計(jì)數(shù)器,譯碼器,振蕩器,分頻器,譯碼驅(qū)動(dòng)

目錄

摘要5目錄6設(shè)計(jì)任務(wù)描述7設(shè)計(jì)思路8設(shè)計(jì)方案91.引言102.各部分的分析122.1、振蕩電路122.2、分頻電路132.3、時(shí)間計(jì)數(shù)電路132.4、譯碼驅(qū)動(dòng)電路142.5、校時(shí)電路152.6、數(shù)碼顯示電路163.電路的邏輯功能173.1、十進(jìn)制183.2、六進(jìn)制183.3、二十四進(jìn)制18結(jié)論18致謝21參考文獻(xiàn)22附錄A1.123附錄A1.224附錄A1.325

設(shè)計(jì)任務(wù)描述

1設(shè)計(jì)題目

題目:數(shù)字鐘電路2設(shè)計(jì)要求

(1)以二十四小時(shí)為一個(gè)周期計(jì)時(shí)。(2)有快速校時(shí)功能。3設(shè)計(jì)目的

(1)掌握數(shù)字鐘電路的構(gòu)成、原理與設(shè)計(jì)方法。

(2)熟悉集成電路的使用方法。4基本要求

(1)電路的計(jì)時(shí)周期為二十四小時(shí)。

(2)有快速校時(shí)功能,校時(shí)只對(duì)小時(shí)和分校時(shí),不對(duì)秒校時(shí)。(3)各計(jì)時(shí)電路顯示。

設(shè)計(jì)思路

根據(jù)此次課程設(shè)計(jì)的要求,我設(shè)計(jì)的數(shù)字鐘電路由6個(gè)部分組成:振蕩器電路、分頻器電路、時(shí)間計(jì)數(shù)單元、譯碼驅(qū)動(dòng)電路、數(shù)碼顯示。(1)秒脈沖電路設(shè)計(jì):采用振蕩分頻器CD4060和電容電阻得到1Hz的方波信號(hào)供秒計(jì)數(shù)器進(jìn)行計(jì)數(shù)。

(2)分頻器電路設(shè)計(jì):分頻器電路還是采用CD4060來實(shí)現(xiàn),CD4060集成元件有很多個(gè)輸出端,各輸出管腳的輸出頻率竇不相同,所以才有它來實(shí)現(xiàn)分頻功能,輸出1HZ的信號(hào)。

(3)時(shí)間計(jì)數(shù)單元:采用16進(jìn)制計(jì)數(shù)器來實(shí)現(xiàn)時(shí)間計(jì)數(shù)單元的計(jì)數(shù)功能。為減少器件使用數(shù)量,可選74LS161,其內(nèi)部邏輯框圖如圖2.3所示。該器件為雙2-8-16異步計(jì)數(shù)器,并且每一計(jì)數(shù)器均提供一個(gè)異步清零端(高電平有效)秒個(gè)位計(jì)數(shù)單元為10進(jìn)制計(jì)數(shù)器,無需進(jìn)制轉(zhuǎn)換,只需將QA與CPB(下降沿有效)相連即可。CPA(下降沒效)與1Hz秒輸入信號(hào)相連,Q3可作為向上的進(jìn)位信號(hào)與十位計(jì)數(shù)單元的CPA相連。

秒十位計(jì)數(shù)單元為6進(jìn)制計(jì)數(shù)器,需要進(jìn)制轉(zhuǎn)換。將10進(jìn)制計(jì)數(shù)器轉(zhuǎn)換為6進(jìn)制計(jì)數(shù)器的電路連接方法如圖2.4所示,其中Q2可作為向上的進(jìn)位信號(hào)與分個(gè)位的計(jì)數(shù)單元的CPA相連。分個(gè)位和分十位計(jì)數(shù)單元電路結(jié)構(gòu)分別與秒個(gè)位和秒十位計(jì)數(shù)單元完全相同,只不過分個(gè)位計(jì)數(shù)單元的Q3作為向上的進(jìn)位信號(hào)應(yīng)與分十位計(jì)數(shù)單元的CPA相連,分十位計(jì)數(shù)單元的Q2作為向上的進(jìn)位信號(hào)應(yīng)與時(shí)個(gè)位計(jì)數(shù)單元的CPA相連。

時(shí)個(gè)位計(jì)數(shù)單元電路結(jié)構(gòu)仍與秒或個(gè)位計(jì)數(shù)單元相同,但是要求,整個(gè)時(shí)計(jì)數(shù)單元應(yīng)為12進(jìn)制計(jì)數(shù)器,不是10的整數(shù)倍,因此需將個(gè)位和十位計(jì)數(shù)單元合并為一個(gè)整體才能進(jìn)行12進(jìn)制轉(zhuǎn)換。利用1片75HC390實(shí)現(xiàn)12進(jìn)制計(jì)數(shù)功能的電路。

(4)譯碼驅(qū)動(dòng)電路:計(jì)數(shù)器實(shí)現(xiàn)了對(duì)時(shí)間的累計(jì)以8421BCD碼形式輸出,為了將計(jì)數(shù)器輸出的8421BCD碼顯示出來,需用顯示譯碼電路將計(jì)數(shù)器的輸出數(shù)碼轉(zhuǎn)換為數(shù)碼顯示器件所需要的輸出邏輯和一定的電流,一般這種譯碼器通常稱為7段譯碼顯示驅(qū)動(dòng)器。常用的7段譯碼顯示驅(qū)動(dòng)器有74LS247

(5)數(shù)碼顯示:對(duì)于譯碼器輸出的十進(jìn)制數(shù)通過共陽極數(shù)碼管顯示。(6)校時(shí)電路:校時(shí)電路一般采用開關(guān)校時(shí)電路,將振蕩器輸出的1HZ脈沖直接加到時(shí)校時(shí)電路或分校時(shí)電路的輸入端,對(duì)電路進(jìn)行校時(shí)。

電路的整體思想是:電路系統(tǒng)由秒信號(hào)發(fā)生器、“時(shí)、分、秒、計(jì)數(shù)器、譯碼器及顯示器、校時(shí)電路組成。秒信號(hào)產(chǎn)生器是整個(gè)系統(tǒng)的時(shí)基信號(hào),它直接決定計(jì)時(shí)系統(tǒng)的精度,一般用石英晶體振蕩器加分頻器來實(shí)現(xiàn)。將標(biāo)準(zhǔn)秒信號(hào)送入“秒計(jì)數(shù)器”,“秒計(jì)數(shù)器”采用60進(jìn)制計(jì)數(shù)器,每累計(jì)60秒發(fā)出一個(gè)“分脈沖”信號(hào),該信號(hào)將作為“分計(jì)數(shù)器”的時(shí)鐘脈沖!胺钟(jì)數(shù)器”也采用60進(jìn)制計(jì)數(shù)器,每累計(jì)60分鐘,發(fā)出一個(gè)“時(shí)脈沖”信號(hào),該信號(hào)將被送到“時(shí)計(jì)數(shù)器”!皶r(shí)計(jì)數(shù)器”采用24進(jìn)制計(jì)時(shí)器,可實(shí)現(xiàn)對(duì)一天24小時(shí)的累計(jì)。譯碼顯示電路將“時(shí)”、“分”、“秒”計(jì)數(shù)器的輸出狀態(tài)送到七段顯示譯碼器譯碼,通過七位LED七段顯示器顯示出來。整點(diǎn)報(bào)時(shí)電路時(shí)根據(jù)計(jì)時(shí)系統(tǒng)的輸出狀態(tài)產(chǎn)生一脈沖信號(hào),然后去觸發(fā)一音頻發(fā)生器實(shí)現(xiàn)報(bào)時(shí)。校時(shí)電路時(shí)用來對(duì)“時(shí)”、“分”、“秒”顯示數(shù)字進(jìn)行校對(duì)調(diào)整的。

設(shè)計(jì)方案

方案一:

設(shè)計(jì)一個(gè)以二十四小時(shí)為一個(gè)周期的數(shù)字鐘電路,并具有快速校時(shí)功能。具體將電路分為五部分來設(shè)計(jì),分別為振蕩器電路、分頻器電路、時(shí)間計(jì)數(shù)單元、譯碼驅(qū)動(dòng)電路、數(shù)碼顯示。在振蕩電路和分頻電路用CD4060,計(jì)數(shù)單元用74LS161,譯碼器用74LS247,數(shù)碼顯示用六個(gè)LED數(shù)碼管。校時(shí)部分采用開關(guān)校時(shí)。此電路的整個(gè)設(shè)計(jì)思想清晰,結(jié)構(gòu)簡(jiǎn)單。方案二:

設(shè)計(jì)一個(gè)以二十四小時(shí)為一個(gè)周期的數(shù)字鐘電路,并具有快速校時(shí)功能。具體將電路分為五部分來設(shè)計(jì),分別為振蕩器電路、分頻器電路、時(shí)間計(jì)數(shù)單元、譯碼驅(qū)動(dòng)電路、數(shù)碼顯示。在振蕩電路部分采用晶體振蕩器。石英晶體振蕩器的特點(diǎn)是振蕩頻率準(zhǔn)確、電路結(jié)構(gòu)簡(jiǎn)單、頻率容易調(diào)整。用反相器74LS04與石英晶體構(gòu)成振蕩電路。取振蕩的頻率為32768Kz。分頻器采用3片74LS90,因每片為十分之一分頻,3片級(jí)聯(lián)則可獲得所需要的頻率信號(hào)。在時(shí)間計(jì)數(shù)電路部分,脈沖信號(hào)經(jīng)過計(jì)數(shù)器,分別得到:“秒”個(gè)位、十位,“分”個(gè)位、十位以及“時(shí)”個(gè)位、十位的計(jì)時(shí)。“秒”“分”計(jì)數(shù)器為60進(jìn)制,小時(shí)為12小時(shí)。在譯碼電路部分,譯碼是將給定的代碼進(jìn)行翻譯,采用的碼制不同,譯碼電路也不同。74LS48驅(qū)動(dòng)器是與8421BCD編碼計(jì)數(shù)器配合用的七段譯碼驅(qū)動(dòng)器。74LS48的輸入端和計(jì)數(shù)器對(duì)應(yīng)的輸出端,74LS48的輸出端和七段顯示器的對(duì)應(yīng)段相連。在數(shù)碼顯示部分才用LCD液晶顯示器。

通過以上兩種方案的比較,第一個(gè)方案思路清晰,結(jié)構(gòu)簡(jiǎn)單,能夠很好的應(yīng)用我們所學(xué)的數(shù)字電路知識(shí),并且在設(shè)計(jì)過程中能夠讓我更好的應(yīng)用和發(fā)揮所學(xué)的知識(shí)。其中第一個(gè)方案還有另外一個(gè)特點(diǎn),在準(zhǔn)確完成數(shù)字鐘設(shè)計(jì)的前提下,器件的使用量很小,成本不高。第二個(gè)電路的設(shè)計(jì)結(jié)構(gòu)比較復(fù)雜,但思路很清楚。器件的使用量上明顯比第一個(gè)方案要少的多,成本太大。經(jīng)過認(rèn)真仔細(xì)的考慮,第一個(gè)方案更好,所一我選擇第一個(gè)設(shè)計(jì)方案。

1.引言

本次設(shè)計(jì)的數(shù)字電路是數(shù)字時(shí)鐘,其要求是以二十四小時(shí)為一個(gè)周期進(jìn)行計(jì)時(shí),并且電路具備校時(shí)功能。整個(gè)電路是由振蕩器電路、分頻器電路、時(shí)間計(jì)數(shù)單元、譯碼驅(qū)動(dòng)電路、數(shù)碼管五個(gè)部分組成。其過程是振蕩電路產(chǎn)生一個(gè)1HZ的時(shí)鐘脈沖,經(jīng)過計(jì)數(shù)器計(jì)數(shù),再通過譯碼驅(qū)動(dòng)電路將二進(jìn)制碼譯成十進(jìn)制數(shù),再通過數(shù)碼管顯示出來。1.1數(shù)字鐘設(shè)計(jì)的整體方案

整個(gè)電路是由振蕩器電路、分頻器電路、時(shí)間計(jì)數(shù)單元、譯碼驅(qū)動(dòng)電路、數(shù)碼管五個(gè)部分組成;究驁D如下:

數(shù)碼顯示數(shù)碼顯示數(shù)碼顯示數(shù)碼顯示數(shù)碼顯示數(shù)碼顯示譯碼驅(qū)動(dòng)譯碼驅(qū)動(dòng)譯碼驅(qū)動(dòng)譯碼驅(qū)動(dòng)譯碼驅(qū)動(dòng)譯碼驅(qū)動(dòng)時(shí)十位計(jì)數(shù)時(shí)個(gè)位計(jì)數(shù)分十位計(jì)數(shù)分個(gè)位計(jì)數(shù)秒十位計(jì)數(shù)秒個(gè)位計(jì)數(shù)校時(shí)控制電路校分控制電路振蕩電路分頻電路

各部分的簡(jiǎn)要作用是:振蕩電路采用CC4060產(chǎn)生振蕩,CC4060外接電容和電

阻及晶體振蕩器來產(chǎn)生輸出為1HZ的脈沖,由晶體振蕩器產(chǎn)生的輸出是32768HZ,CC4060具有分頻作用,可以產(chǎn)生輸出為1HZ的輸出脈沖。振蕩電路也是整個(gè)電路的核心部分,數(shù)字鐘的計(jì)時(shí)準(zhǔn)確與否關(guān)鍵在于振蕩電路的輸出是否為1HZ的秒信號(hào)。為了穩(wěn)定振蕩器的輸出,可以在振蕩器的輸出端加一個(gè)D觸發(fā)器,再將輸出端加在計(jì)數(shù)器的時(shí)鐘脈沖輸入端。

電路的另一部分就是計(jì)數(shù)單元和譯碼驅(qū)動(dòng)電路,計(jì)數(shù)器實(shí)現(xiàn)脈沖的計(jì)數(shù),還實(shí)現(xiàn)分頻的作用,計(jì)數(shù)單元采用6個(gè)74LS161。譯碼驅(qū)動(dòng)器是將二進(jìn)制碼轉(zhuǎn)換為相應(yīng)的十進(jìn)制輸出,在通過數(shù)碼管顯示出相應(yīng)的十進(jìn)制數(shù)。

2.各部分的分析

2.1,振蕩電路。

數(shù)字鐘電路是一個(gè)數(shù)字邏輯電路,除了5V的直流電源以外,再?zèng)]有其他的電源電路,因而必須設(shè)計(jì)一個(gè)脈沖產(chǎn)生電路,也就是整個(gè)電路的核心部分,振蕩電路。電路圖如下所示:

CC4060有很多輸出管腳,為了得到1HZ的輸出信號(hào),輸出接3管腳,以上電路是一般的振蕩電路,對(duì)于準(zhǔn)確度較高的數(shù)字電路,以上電路還達(dá)不到要求。準(zhǔn)確性較高的振蕩電路一般采用晶體振蕩電路,得到較高水平的輸出。晶體振蕩器是構(gòu)成數(shù)字式時(shí)鐘的核心,它保證了時(shí)鐘的走時(shí)準(zhǔn)確及穩(wěn)定。一般輸出為方波的數(shù)字式晶體振蕩器電路通常有兩類,一類是用TTL門電路構(gòu)成;另一類是通過CMOS非門構(gòu)成的電路,如圖1.2所示,從圖上可以看出其結(jié)構(gòu)非常簡(jiǎn)單。該電路廣泛使用于各種需要頻率穩(wěn)定及準(zhǔn)確的數(shù)字電路,如數(shù)字鐘、電子計(jì)算機(jī)、數(shù)字通信電路等。晶體振蕩電路如下:

圖1.2CMOS晶體振蕩器(仿真電路)

圖1.2所示電路中,CMOS非門U1與晶體、電容和電阻構(gòu)成晶體振蕩器電路,U2實(shí)現(xiàn)整形功能,將振蕩器輸出的近似于正弦波的波形轉(zhuǎn)換為較理想的方波。輸出反饋電阻為非門提供偏置,使電路工作于放大區(qū)域,即非門的功能近似于一個(gè)高增益的反相放大器。電容C1、C2與晶體構(gòu)成一個(gè)諧振型網(wǎng)絡(luò),完成對(duì)振蕩頻率的控制功能,同時(shí)提供了一個(gè)180度相移,從而和非門構(gòu)成一個(gè)正反饋網(wǎng)絡(luò),實(shí)現(xiàn)了振蕩器的功能。由于晶體具有較高的頻率穩(wěn)定性及準(zhǔn)確性,從而保證了輸出頻率的穩(wěn)定和準(zhǔn)確。2.2分頻電路

分頻電路還是采用振蕩電路來完成,即CC4060外接電容和電阻。CC4060有很多的輸出管教,且各管教的輸出頻率是不同的,為了得到1HZ的輸出,CC4060接3管腳。但是只用CC4060、電容和電阻達(dá)到的準(zhǔn)確度不高,所以在工程設(shè)計(jì)中大部分采用晶體振蕩。通常實(shí)現(xiàn)分頻器的電路是計(jì)數(shù)器電路,一般采用多級(jí)2進(jìn)制計(jì)數(shù)器來實(shí)現(xiàn)。例如,將32768Hz的振蕩信號(hào)分頻為1HZ的分頻倍數(shù)為32768(),即實(shí)現(xiàn)該分頻功能的計(jì)數(shù)器相當(dāng)于15級(jí)2進(jìn)制計(jì)數(shù)器。常用的2進(jìn)制計(jì)數(shù)器有74LS161等。實(shí)際上,從盡量減少元器件數(shù)量的角度來考慮,這里可選多極2進(jìn)制計(jì)數(shù)電路CD4060和CD4040來構(gòu)成分頻電路。CD4060和CD4040在數(shù)字集成電路中可實(shí)現(xiàn)的分頻次數(shù)最高,而且CD4060還包含振蕩電路所需的非門,使用更為方便。

CD4060計(jì)數(shù)為14級(jí)2進(jìn)制計(jì)數(shù)器,可以將32768Hz的信號(hào)分頻為2Hz,其內(nèi)部框圖如圖2.1所示,從圖中可以看出,CD4060的時(shí)鐘輸入端兩個(gè)串接的非門,因此可以直接實(shí)現(xiàn)振蕩和分頻的功能。2.3時(shí)間計(jì)數(shù)電路

一般采用16進(jìn)制計(jì)數(shù)器來實(shí)現(xiàn)時(shí)間計(jì)數(shù)單元的計(jì)數(shù)功能。為減少器件使用數(shù)量,可選74LS161,其內(nèi)部邏輯框圖如圖2.3所示。該器件為雙2-8-16異步計(jì)數(shù)器,并且每一計(jì)數(shù)器均提供一個(gè)異步清零端(高電平有效)。

74LS161是一片十六進(jìn)制計(jì)數(shù)器,異步置零,同步置數(shù)具體功能如下真值表所示:

輸入CR非LD非輸出CO說明CTPCTTCPD3D2D1D0Q3Q2Q1Q001111××××××××0×11110××100000CO=CT×Q3Q2Q1Q0CO=Q3Q2Q異步置零同步置數(shù)D3D2D1D0D3D2D1D0××××十六進(jìn)制計(jì)數(shù)保持保持××××××0×××××1Q0CO=CTQ3Q2Q1Q00秒個(gè)位計(jì)數(shù)單元為10進(jìn)制計(jì)數(shù)器,無需進(jìn)制轉(zhuǎn)換,只需將QA與CPB(下降沿有效)相連即可。CPA(下降沒效)與1Hz秒輸入信號(hào)相連,Q3可作為向上的進(jìn)位信號(hào)與十位計(jì)數(shù)單元的CPA相連。

秒十位計(jì)數(shù)單元為6進(jìn)制計(jì)數(shù)器,需要進(jìn)制轉(zhuǎn)換。將10進(jìn)制計(jì)數(shù)器轉(zhuǎn)換為6進(jìn)制計(jì)數(shù)器的電路連接方法如圖2.4所示,其中Q2可作為向上的進(jìn)位信號(hào)與分個(gè)位的計(jì)數(shù)單元的CPA相連。

分個(gè)位和分十位計(jì)數(shù)單元電路結(jié)構(gòu)分別與秒個(gè)位和秒十位計(jì)數(shù)單元完全相同,只不過分個(gè)位計(jì)數(shù)單元的Q3作為向上的進(jìn)位信號(hào)應(yīng)與分十位計(jì)數(shù)單元的CPA相連,分十位計(jì)數(shù)單元的Q2作為向上的進(jìn)位信號(hào)應(yīng)與時(shí)個(gè)位計(jì)數(shù)單元的CPA相連。

時(shí)個(gè)位計(jì)數(shù)單元電路結(jié)構(gòu)仍與秒或個(gè)位計(jì)數(shù)單元相同,但是要求,整個(gè)時(shí)計(jì)數(shù)單元應(yīng)為12進(jìn)制計(jì)數(shù)器,不是10的整數(shù)倍,因此需將個(gè)位和十位計(jì)數(shù)單元合并為一個(gè)整體才能進(jìn)行12進(jìn)制轉(zhuǎn)換。利用1片75HC390實(shí)現(xiàn)12進(jìn)制計(jì)數(shù)功能的電路。2.4,譯碼驅(qū)動(dòng)電路

計(jì)數(shù)器實(shí)現(xiàn)了對(duì)時(shí)間的累計(jì)以8421BCD碼形式輸出,為了將計(jì)數(shù)器輸出的

8421BCD碼顯示出來,需用顯示譯碼電路將計(jì)數(shù)器的輸出數(shù)碼轉(zhuǎn)換為數(shù)碼顯示器件所需要的輸出邏輯和一定的電流,一般這種譯碼器通常稱為7段譯碼顯示驅(qū)動(dòng)器。常用的7段譯碼顯示驅(qū)動(dòng)器有74LS247。74LS247元件圖及真值表如下所示

13121110915147126

354UTAINOAUTBINOBUTCINOCUTDINODOUTEOUTFTUTGLORBIBI/RBOU174LS247

圖2.4.174LS247元件圖

圖2.4.274LS247真值表

74LS247是BCD-7段譯碼器/驅(qū)動(dòng)器,集電極開路輸出,接受4位二進(jìn)制碼-十進(jìn)制數(shù)(BCD)輸入借助于輔助輸入端狀態(tài),輸出端最大電壓為15V。有自動(dòng)前后沿滅零控制(RBI/RBO),試燈(LT)可在BI/RBO節(jié)點(diǎn)處高于高電平的任何時(shí)刻去進(jìn)行,該電路還含有一個(gè)滅燈輸入(BI),它用來控制燈的亮度或禁止輸入。2.5,校時(shí)電路

根據(jù)要求,數(shù)字鐘應(yīng)具有分校正和時(shí)校正功能,因此,應(yīng)截?cái)喾謧(gè)位和時(shí)個(gè)位的直接計(jì)數(shù)通路,并采用正常計(jì)時(shí)信號(hào)與校正信號(hào)可以隨時(shí)切換的電路接入其中。圖2.6所示即為用COMS與或非門實(shí)現(xiàn)的時(shí)或分校時(shí)電路,圖中,In1端與低位的進(jìn)位信號(hào)相連;In2端與校正信號(hào)相連,校正信號(hào)可直接取自分頻器產(chǎn)生的1Hz或2Hz(不可太高或太低)信號(hào);輸出端則與分或時(shí)個(gè)位計(jì)時(shí)輸入端相連。

如圖2.6所示,當(dāng)開關(guān)打向下時(shí),因?yàn)樾U盘?hào)和0相與的輸出為0,而開關(guān)的另一端接高電平,正常輸入信號(hào)可以順利通過與或門,故校時(shí)電路處于正常計(jì)時(shí)狀態(tài);當(dāng)開關(guān)打向上時(shí),情況正好與上述相反,這時(shí)校時(shí)電路處于校時(shí)狀態(tài)。顯然,這樣的校時(shí)電路需要兩個(gè)。

若門電路采用TTL型,則可省去電阻R1和R2。

與或非門可選74HC15,非門則可選74HC00或74HC04等。圖2.6所示校時(shí)電路存在開關(guān)抖動(dòng)問題,使電路無法正常工作,因此實(shí)際使用時(shí),須對(duì)開關(guān)的狀態(tài)進(jìn)行消除抖動(dòng)處理。通常采用基本RS觸發(fā)器構(gòu)成開關(guān)消抖動(dòng)電路,

2.6,數(shù)碼顯示電路

電路的輸出端應(yīng)該接6個(gè)數(shù)碼管,將譯碼器輸出的十進(jìn)制數(shù)顯示出來,數(shù)碼管采用HS-5101BS2,數(shù)碼管通常有發(fā)光二極管(LED)數(shù)碼管和液晶(LCD)數(shù)碼管,本設(shè)計(jì)提供的為L(zhǎng)ED數(shù)碼管。數(shù)碼管有10個(gè)管腳,其中有兩個(gè)腳是相連的,即共陽極。

A30000000011A2A1A0Ya0000111100001100110001010101010100100000Yb0000011000Yc0010000000Yd0100100100Ye0101110101Yf0111000100Yg1100000100顯示字形0123456789

3.3、二十四進(jìn)制

圖3.1十進(jìn)制電路

3.電路的邏輯功能

3.2、六進(jìn)制

十進(jìn)制電路是有74LS161來實(shí)現(xiàn)的,當(dāng)二進(jìn)制計(jì)數(shù)到“0101”時(shí),譯碼器輸出10,向十位進(jìn)一,計(jì)數(shù)器本身清零。電路如下:

數(shù)字鐘電路是以24小時(shí)為一個(gè)周期計(jì)時(shí)的,所以,在整個(gè)電路中有十進(jìn)制、六進(jìn)制。3.1、十進(jìn)制

十進(jìn)制電路是有74LS161來實(shí)現(xiàn)的,當(dāng)二進(jìn)制計(jì)數(shù)到“1010”時(shí),譯碼器輸出10,向十位進(jìn)一,計(jì)數(shù)器本身清零。電路如下:

圖3.2六進(jìn)制

二十四進(jìn)制部分電路,其工作原理同上。當(dāng)時(shí)間達(dá)到23:59:59秒時(shí),計(jì)數(shù)器清零,重新開始計(jì)數(shù)。電路如下:

結(jié)論

在短短的兩周時(shí)間里,我學(xué)會(huì)了設(shè)計(jì)直流穩(wěn)壓電源的一些基本知識(shí),雖然時(shí)間短暫,但我還是充分利用,從中學(xué)會(huì)了不少知識(shí)。在本學(xué)期中,各種形式的實(shí)踐課占了很大一部分課時(shí)。在實(shí)踐課中,我們學(xué)到了很多我們所學(xué)課程的教科書上沒有的東西。同時(shí),實(shí)踐課對(duì)我們理論課的學(xué)習(xí)也很有幫助。做設(shè)計(jì)之前在網(wǎng)上,圖書館找了好幾天的資料,也自學(xué)了PROTEL99SE軟件。單元電路的每一部分都經(jīng)過比較認(rèn)真的考慮,比較了很多類似的電路,也參考了很多書,做完之后覺得這樣的方案組合還是可行的。因?yàn)檫@樣那樣的原因,沒有能做出成品,但應(yīng)該知道做成品就更困難,遇到的困難也就會(huì)更多。但從開始認(rèn)為隨便就可以找到現(xiàn)成的方案到經(jīng)過兩個(gè)多星期自己的實(shí)踐,得到了自己的設(shè)計(jì),已經(jīng)邁出了動(dòng)手的第一步。在以往的學(xué)習(xí)中,我總感覺對(duì)課本知識(shí)不理解,不會(huì)融會(huì)貫通,在這次設(shè)計(jì)中,我真正把理論與實(shí)踐聯(lián)系起來,使我所學(xué)的數(shù)字電子知識(shí)得到了的運(yùn)用,我覺得我的能力有了更進(jìn)一步的提高。在這次課程設(shè)計(jì)過程中,我遇到了幾個(gè)自己不能解決的問題,通過老師和同學(xué)的幫助最終把問題解決,在此,我才知道自己的電子知識(shí)還是不夠,而且我們所學(xué)的理論知識(shí)是很有用的,沒有堅(jiān)實(shí)的知識(shí)基礎(chǔ),是不可能完成設(shè)計(jì)的。

實(shí)踐的過程中出現(xiàn)了無法解決的問題,所以我們也查閱了大量相關(guān)資料和書籍,這也是獲取知識(shí)最重要的途徑之一,吸取前人的經(jīng)驗(yàn)也是解決問題的很好途徑,但是絕不能照抄別人的成品,先繼承后發(fā)展才能算是我的收獲的。

“書到用時(shí)方恨少!”應(yīng)用時(shí)的捉襟見肘才讓我認(rèn)識(shí)到了自己的不足,每一門專業(yè)基礎(chǔ)課都是我們手中不可替代的武器,只有把他們有機(jī)聯(lián)系起來才有可能實(shí)現(xiàn)一個(gè)完整的功能,本次課程設(shè)計(jì)是我體會(huì)到只有付出才會(huì)有收獲,只有平日認(rèn)真學(xué)習(xí)關(guān)鍵時(shí)刻才會(huì)不捉襟見肘,只有團(tuán)結(jié)一致才會(huì)成功,只有互助才會(huì)順利

課程設(shè)計(jì)要親手做過,不管它是否有結(jié)果。你要學(xué)的是知識(shí),是解決問題的方法和思想,要端正學(xué)習(xí)態(tài)度,一時(shí)的成敗不足以論英雄,每一次突破都是一種收獲,就是在這樣的過程中我們才能不斷提高。在從開始接到課程設(shè)計(jì),再到報(bào)告的完成,每走一步都滲透著努力和汗水。在收獲知識(shí)的同時(shí)收獲快樂。

附錄A1.1

元件表

名稱電阻R1電阻R2電容C數(shù)碼管計(jì)數(shù)器譯碼器與非門分頻器與門

型號(hào)1.3K360K0.1uFHS-5101AS274LS16174LS24774LS00CD406074LS08數(shù)量11166621

附錄A1.1

真值表

CP01111111111

ABCD××××abcdefg00000001111110011000011011011111001011001110110110011111111000011111111111011顯示熄滅0123456789000000010010001101000101011001111000100

附錄A1.3

電路原理圖

友情提示:本文中關(guān)于《EDA數(shù)字鐘實(shí)驗(yàn)報(bào)告》給出的范例僅供您參考拓展思維使用,EDA數(shù)字鐘實(shí)驗(yàn)報(bào)告:該篇文章建議您自主創(chuàng)作。

來源:網(wǎng)絡(luò)整理 免責(zé)聲明:本文僅限學(xué)習(xí)分享,如產(chǎn)生版權(quán)問題,請(qǐng)聯(lián)系我們及時(shí)刪除。


EDA數(shù)字鐘實(shí)驗(yàn)報(bào)告》由互聯(lián)網(wǎng)用戶整理提供,轉(zhuǎn)載分享請(qǐng)保留原作者信息,謝謝!
鏈接地址:http://www.seogis.com/gongwen/747791.html
相關(guān)文章
最新文章